Design management based on design traces

  • Authors:
  • Andrea Casotto;A. Richard Newton;Alberto Sangiovanni-Vincentelli

  • Affiliations:
  • Department of Electrical Engineering and Computer Sciences, University of California, Berkeley;Department of Electrical Engineering and Computer Sciences, University of California, Berkeley;Department of Electrical Engineering and Computer Sciences, University of California, Berkeley

  • Venue:
  • DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
  • Year:
  • 1991

Quantified Score

Hi-index 0.00

Visualization

Abstract

VOV is an automatic manager for VLSI design. It is based on the idea that CAD tools can leave a “trace” of their execution. The trace is represented as a bipartite directed and acyclic graph, in which the nodes represent either design data or CAD transactions. By managing and analyzing the traces, VOV offers a wide variety of services related to design management, such as coordination of team design, automatic execution of CAD transactions, capture of design history and data dependencies. All of these services are provided in a non-intrusive fashion. VOV has the notion of measurement on the design data, an ingredient which is necessary to provide even more services: tracking of design specifications, validation of design data, design estimation.