Customizing the Branch Predictor to Reduce Complexity and Energy Consumption

  • Authors:
  • Michael C. Huang;Daniel Chaver;Luis Pinuel;Manuel Prieto;Francisco Tirado

  • Affiliations:
  • University of Rochester;Universidad Complutense de Madrid;Universidad Complutense de Madrid;Universidad Complutense de Madrid;Universidad Complutense de Madrid

  • Venue:
  • IEEE Micro
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

To exploit instruction-level parallelism, high-end processors use branch predictors consisting of many large, often underutilized structures that cause unnecessary energy waste and high power consumption. By adapting the branch target buffer's size and dynamically disabling a hybrid predictor's components, the authors create a customized branch predictor that saves a significant amount of energy with little performance degradation.