A faster algorithm for finding the minimum cut in a graph
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
Polynomial time optimal algorithms for time slot assignment of variable bandwidth systems
IEEE/ACM Transactions on Networking (TON)
An accelerated interior point method whose running time depends only on A (extended abstract)
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Combinatorial optimization: an integer programming perspective
ACM Computing Surveys (CSUR)
Approximating s-t minimum cuts in Õ(n2) time
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
A Subtree-Partitioning Algorithm for Inducing Parallelism in Network Simplex Dual Updates
Computational Optimization and Applications
Optimal importance sampling for quick simulation of highly reliable Markovian systems
WSC '93 Proceedings of the 25th conference on Winter simulation
Using random sampling to find maximum flows in uncapacitated undirected graphs
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
A VLSI artwork legalization technique based on a new criterion of minimum layout perturbation
Proceedings of the 1997 international symposium on Physical design
Contour trees and small seed sets for isosurface traversal
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
Global routing with crosstalk constraints
DAC '98 Proceedings of the 35th annual Design Automation Conference
The balanced likelihood ratio method for estimating performance measures of highly reliable systems
Proceedings of the 30th conference on Winter simulation
Augment or push: a computational study of bipartite matching and unit-capacity flow algorithms
Journal of Experimental Algorithmics (JEA)
Experimental analysis of dynamic algorithms for the single source shortest paths problem
Journal of Experimental Algorithmics (JEA)
Computing the Kantorovich Distance for Images
Journal of Mathematical Imaging and Vision
A polynomial combinatorial algorithm for generalized minimum cost flow
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Polynomial methods for separable convex optimization in unimodular spaces
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Fully dynamic output bounded single source shortest path problem
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
A polynomial time primal network simplex algorithm for minimum cost flows
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
A capacity scaling algorithm for convex cost submodular flows
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
A polynomial algorithm for abstract maximum flow
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Computing a minimum biclique cover is polynomial for bipartite domino-free graphs
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Runtime prediction of real programs on real machines
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Clustering for faster network simplex pivots
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Better random sampling algorithms for flows in undirected graphs
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Augmenting undirected edge connectivity in Õ(n2) time
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Combinatorial approximation algorithms for generalized flow problems
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
A new property and a faster algorithm for baseball elimination
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Computational Optimization and Applications - Special issue on computational optimization—a tribute to Olvi Mangasarian, part I
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
A game-theoretic formulation of multi-agent resource allocation
AGENTS '00 Proceedings of the fourth international conference on Autonomous agents
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Online multicast routing with bandwidth guarantees: a new approach using multicast network flow
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
An efficient algorithm for finding a path subject to two additive constraints
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Detecting Embedded Networks in LP Using GUB Structures and IndependentSet Algorithms
Computational Optimization and Applications
Interprocessor communication with memory constraints
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
Meeting delay constraints in DSM by minimal repeater insertion
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Efficient identification of Web communities
Proceedings of the sixth ACM SIGKDD international conference on Knowledge discovery and data mining
A computational study of routing algorithms for realistic transportation networks
Journal of Experimental Algorithmics (JEA)
Design and implementation of an agent-based intermediary infrastructure for electronic markets
Proceedings of the 2nd ACM conference on Electronic commerce
Complexity of Minimum Length Scheduling for Precedence Constrained Messages in Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
The probabilistic relationship between the assignment and asymmetric traveling salesman problems
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Approximation algorithms for data placement in arbitrary networks
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Single-source shortest-paths on arbitrary directed graphs in linear average-case time
SODA '01 Proceedings of the twelfth annual ACM-SIAM symposium on Discrete algorithms
Faster and more accurate wiring evaluation in interconnect-centric floorplanning
GLSVLSI '01 Proceedings of the 11th Great Lakes symposium on VLSI
Source-oriented topology aggregation with multiple QoS parameters in hierarchical networks
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Integrated power supply planning and floorplanning
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Data locality enhancement by memory reduction
ICS '01 Proceedings of the 15th international conference on Supercomputing
Computational Optimization and Applications
Online server allocation in a server farm via benefit task systems
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Finding minimum congestion spanning trees
Journal of Experimental Algorithmics (JEA)
Proceedings of the 14th international symposium on Systems synthesis
Preemptive bandwidth allocation protocol for multicast, multi-streams environments
MULTIMEDIA '01 Proceedings of the ninth ACM international conference on Multimedia
An efficient algorithm for image segmentation, Markov random fields and related problems
Journal of the ACM (JACM)
Scheduling of real-time messages in optical broadcast-and-select networks
IEEE/ACM Transactions on Networking (TON)
A model for enhancing connection rerouting in mobile networks
Wireless Networks - Special issue: Design and modeling in mobile and wireless systsems
Timing-driven routing for FPGAs based on Lagrangian relaxation
Proceedings of the 2002 international symposium on Physical design
Temporal logic replication for dynamically reconfigurable FPGA partitioning
Proceedings of the 2002 international symposium on Physical design
Globally Optimal Regions and Boundaries as Minimum Ratio Weight Cycles
IEEE Transactions on Pattern Analysis and Machine Intelligence - Graph Algorithms and Computer Vision
Fast Approximate Energy Minimization via Graph Cuts
IEEE Transactions on Pattern Analysis and Machine Intelligence
Cross-entropy and rare events for maximal cut and partition problems
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue: Rare event simulation
Path set selection in mobile ad hoc networks
Proceedings of the 3rd ACM international symposium on Mobile ad hoc networking & computing
An 8/13-approximation algorithm for the asymmetric maximum TSP
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Faster approximation schemes for fractional multicommodity flow problems
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Flows over time with load-dependent transit times
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Matrix rounding under the Lp-discrepancy measure and its application to digital halftoning
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
A compact and efficient image retrieval approach based on border/interior pixel classification
Proceedings of the eleventh international conference on Information and knowledge management
Effects of maximum flow algorithm on identifying web community
Proceedings of the 4th international workshop on Web information and data management
Network Design for Express Shipment Delivery
Computational Optimization and Applications
Creating Advanced Bases For Large Scale Linear Programs Exploiting Embedded Network Structure
Computational Optimization and Applications
An efficient network flow code for finding all minimum cost s-t cutsets
Computers and Operations Research
Scheduling of parallel identical machines to maximize the weighted number of just-in-time jobs
Computers and Operations Research
Nonadditive Shortest Paths: Subproblems in Multi-Agent Competitive Network Models
Computational & Mathematical Organization Theory
Subsidy Competition in Networks
Computational & Mathematical Organization Theory
Constraints in Graph Drawing Algorithms
Constraints
A Framework for Generating Network-Based Moving Objects
Geoinformatica
A Computational Study of Shifting Bottleneck Procedures forShop Scheduling Problems
Journal of Heuristics
A Zoom-In Approach to Design SDH Mesh Restorable Networks
Journal of Heuristics
Tabu Search for a Network Loading Problem with Multiple Facilities
Journal of Heuristics
Bounds and Heuristics for the Shortest Capacitated Paths Problem
Journal of Heuristics
Analyzing the Multiple-target-multiple-agent Scenario Using Optimal Assignment Algorithms
Journal of Intelligent and Robotic Systems
A Pseudo-Polynomial Primal-Dual Algorithm for Globally Solving aProduction-Transportation Problem
Journal of Global Optimization
Polynomial algorithms for a class of minimum rank-two cost path problems
Journal of Global Optimization
Optimal Scheduling in Parallel and Serial Manufacturing Systems via the Maximum Principle
Journal of Global Optimization
A Lagrangian Based Branch-and-Bound Algorithm for Production-transportation Problems
Journal of Global Optimization
Journal of Global Optimization
DORA: Efficient Routing for MPLS Traffic Engineering
Journal of Network and Systems Management
Managing End-to-End Network Performance via Optimized Monitoring Strategies
Journal of Network and Systems Management
Stability of Fluid Networks with Proportional Routing
Queueing Systems: Theory and Applications
A timing-constrained algorithm for simultaneous global routing of multiple nets
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Provably good global buffering using an available buffer block plan
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
A passenger demand model for airline flight scheduling and fleet routing
Computers and Operations Research
Lexicographically optimal balanced networks
IEEE/ACM Transactions on Networking (TON)
Wire type assignment for FPGA routing
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
IEEE Transactions on Knowledge and Data Engineering
Stereo Correspondence with Compact Windows via Minimum Ratio Cycle
IEEE Transactions on Pattern Analysis and Machine Intelligence
Modeling diminishing returns in project resource planning
Computers and Industrial Engineering
Personalized location-based brokering using an agent-based intermediary architecture
Decision Support Systems - Special issue: Agents and e-commerce business models
The Complexity of Generic Primal Algorithms for Solving General Integer Programs
Mathematics of Operations Research
Optimal roundings of sequences and matrices
Nordic Journal of Computing
Solving large-scale requirements planning problems with component substitution options
Computers and Industrial Engineering
A new approximation algorithm for the asymmetric TSP with triangle inequality
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Second best temporally repeated flows
The Korean Journal of Computational & Applied Mathematics
What Energy Functions Can Be Minimized via Graph Cuts?
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part III
A Pseudo-Metric for Weighted Point Sets
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part III
Q-Cut - Dynamic Discovery of Sub-goals in Reinforcement Learning
ECML '02 Proceedings of the 13th European Conference on Machine Learning
DSOM '00 Proceedings of the 11th IFIP/IEEE International Workshop on Distributed Systems: Operations and Management: Services Management in Intelligent Networks
A Strongly Polynomial Cut Canceling Algorithm for the Submodular Flow Problem
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
Optimal Compaction of Orthogonal Grid Drawings
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
Solving the Convex Cost Integer Dual Network Flow Problem
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
Experimental Evaluation of Approximation Algorithms for Single-Source Unsplittable Flow
Proceedings of the 7th International IPCO Conference on Integer Programming and Combinatorial Optimization
Proceedings of the 9th International IPCO Conference on Integer Programming and Combinatorial Optimization
Integer Programming and Arrovian Social Welfare Functions
Proceedings of the 9th International IPCO Conference on Integer Programming and Combinatorial Optimization
Buckets Strike Back: Improved Parallel Shortest Paths
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
What Do We Learn from Experimental Algorithmics?
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
On the Complexities of the Optimal Rounding Problems of Sequences and Matrices
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
Locating Sources to Meet Flow Demands in Undirected Networks
SWAT '00 Proceedings of the 7th Scandinavian Workshop on Algorithm Theory
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
Computing the Threshold for q-Gram Filters
SWAT '02 Proceedings of the 8th Scandinavian Workshop on Algorithm Theory
Database Schema Matching Using Machine Learning with Feature Selection
CAiSE '02 Proceedings of the 14th International Conference on Advanced Information Systems Engineering
Improving Time Bounds on Maximum Generalised Flow Computations by Contracting the Network
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Optimal Net Surface Problems with Applications
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Improved Shortest Paths on the Word RAM
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
IWLCS '01 Revised Papers from the 4th International Workshop on Advances in Learning Classifier Systems
Simultaneous Augmentation of Two Graphs to an l-Edge-Connected Graph and a Biconnected Graph
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
Classification of Various Neighborhood Operations for the Nurse Scheduling Problem
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
Project Scheduling with Irregular Costs: Complexity, Approximability, and Algorithms
ISAAC '02 Proceedings of the 13th International Symposium on Algorithms and Computation
Pipeline Transportation of Petroleum Products with No Due Dates
LATIN '02 Proceedings of the 5th Latin American Symposium on Theoretical Informatics
Resource-Constrained Project Scheduling and Timetabling
PATAT '00 Selected papers from the Third International Conference on Practice and Theory of Automated Timetabling III
Sealed Bid Mulit-object Auctions with Necessary Bundles and Its Application to Spectrum Auctions
PRIMA 2001 Proceedings of the 4th Pacific Rim International Workshop on Multi-Agents, Intelligent Agents: Specification, Modeling, and Applications
QofIS '00 Proceedings of the First COST 263 International Workshop on Quality of Future Internet Services
Profile-Based Routing: A New Framework for MPLS Traffic Engineering
COST 263 Proceedings of the Second International Workshop on Quality of Future Internet Services
Multicast Routing by Multiple Tree Routes
QoS-IP '01 Proceedings of the International Workshop on Quality of Service in Multiservice IP Networks
E-Commerce Agents, Marketplace Solutions, Security Issues, and Supply and Demand
A New Algorithm for Energy Minimization with Discontinuities
EMMCVPR '99 Proceedings of the Second International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
A Discrete/Continuous Minimization Method in Interferometric Image Processing
EMMCVPR '01 Proceedings of the Third International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
Parallel Shortest Path for Arbitrary Graphs
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
On Multicasting with Minimum Costs for the Internet Topology
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
On the Implementation of MST-Based Heuristics for the Steiner Problem in Graphs
ALENEX '02 Revised Papers from the 4th International Workshop on Algorithm Engineering and Experiments
Complexity of Makespan Minimization for Pipeline Transportation of Petroleum Products
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
Two Approximation Algorithms for 3-Cycle Covers
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
Decomposing Integer Programming Models for Spatial Allocation
GIScience '02 Proceedings of the Second International Conference on Geographic Information Science
Mobile Journey Planning for Bus Passengers
GIScience '02 Proceedings of the Second International Conference on Geographic Information Science
Fast Approximate Algorithms for Maximum Lifetime Routing in Wireless Ad-hoc Networks
NETWORKING '00 Proceedings of the IFIP-TC6 / European Commission International Conference on Broadband Communications, High Performance Networking, and Performance of Communication Networks
MiCRoM: A Metric Distance to Compare Segmented Images
VISUAL '02 Proceedings of the 5th International Conference on Recent Advances in Visual Information Systems
Dynamic Online Routing Algorithm for MPLS Traffic Engineering
NETWORKING '02 Proceedings of the Second International IFIP-TC6 Networking Conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; and Mobile and Wireless Communications
Maintaining Shortest Paths in Digraphs with Arbitrary Arc Weights: An Experimental Study
WAE '00 Proceedings of the 4th International Workshop on Algorithm Engineering
WAE '01 Proceedings of the 5th International Workshop on Algorithm Engineering
Tracking Multiple Moving Objects in Populated, Public Environments
Revised Papers from the International Workshop on Sensor Based Intelligent Robots
Specifying Algorithm Visualizations: Interesting Events or State Mapping?
Revised Lectures on Software Visualization, International Seminar
Network Flow Problems in Constraint Programming
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Constraint Programming Contribution to Benders Decomposition: A Case Study
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Fully Dynamic Shortest Paths and Negative Cycles Detection on Digraphs with Arbitrary Arc Weights
ESA '98 Proceedings of the 6th Annual European Symposium on Algorithms
Delta-Stepping: A Parallel Single Source Shortest Path Algorithm
ESA '98 Proceedings of the 6th Annual European Symposium on Algorithms
Fast Algorithms for Even/Odd Minimum Cuts and Generalizations
ESA '00 Proceedings of the 8th Annual European Symposium on Algorithms
New Results for Path Problems in Generalized Stars, Complete Graphs, and Brick Wall Graphs
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
An Architecture for the Transport of IP Telephony Services
ICN '01 Proceedings of the First International Conference on Networking-Part 2
FATES: Finding A Time dEpendent Shortest path
MDM '03 Proceedings of the 4th International Conference on Mobile Data Management
Multi-camera Scene Reconstruction via Graph Cuts
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part III
Football Elimination Is Hard to Decide Under the 3-Point-Rule
MFCS '99 Proceedings of the 24th International Symposium on Mathematical Foundations of Computer Science
A Branch and Bound Algorithm for Minimum Cost Network Flow Problem
IDEAL '02 Proceedings of the Third International Conference on Intelligent Data Engineering and Automated Learning
Specific Filtering Algorithms for Over-Constrained Problems
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Experimental results on quadrangulations of sets of fixed points
Computer Aided Geometric Design
Minimal sensor integrity: measuring the vulnerability of sensor grids
Information Processing Letters
Non-standard approaches to integer programming
Discrete Applied Mathematics
Graph connectivity and its augmentation: applications of MA orderings
Discrete Applied Mathematics
A fully dynamic algorithm for distributed shortest paths
Theoretical Computer Science - Latin American theoretical informatics
Implementation experience with MANET routing protocols
ACM SIGCOMM Computer Communication Review
Computers and Operations Research
Fuzzy-connected 3D image segmentation at interactive speeds
Graphical Models
Integrating local search and network flow to solve the inventory routing problem
Eighteenth national conference on Artificial intelligence
Throughput-centric routing algorithm design
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Geometric algorithms for static leaf sequencing problems in radiation therapy
Proceedings of the nineteenth annual symposium on Computational geometry
Short path queries in planar graphs in constant time
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Pricing network edges for heterogeneous selfish users
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Location-Based Taxi Service in Wireless Communication Environment
ANSS '03 Proceedings of the 36th annual symposium on Simulation
FOCS '99 Proceedings of the 40th Annual Symposium on Foundations of Computer Science
Clock-Skew Constrained Cell Placement
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Fast Algorithms for Measurement-Based Traffic Modeling
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
PARM: Power Aware Reconfigurable Middleware
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Visualization in algorithm engineering: tools and techniques
Experimental algorithmics
Solving bicriteria 0-1 knapsack problems using a labeling algorithm
Computers and Operations Research
Single Machine Scheduling of Unit-time Jobs with Controllable Release Dates
Journal of Global Optimization
Journal of Global Optimization
An interactive procedure dedicated to a bicriteria plant location model
Computers and Operations Research
Routing using potentials: a dynamic traffic-aware routing algorithm
Proceedings of the 2003 conference on Applications, technologies, architectures, and protocols for computer communications
On selfish routing in internet-like environments
Proceedings of the 2003 conference on Applications, technologies, architectures, and protocols for computer communications
Group sequencing a PCB assembly system via an expected sequence dependent setup heuristic
Computers and Industrial Engineering
IEEE/ACM Transactions on Networking (TON)
Clustering Binary Fingerprint Vectors with Missing Values for DNA Array Data Analysis
CSB '03 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Proceedings of the 9th annual international conference on Mobile computing and networking
On Exploring Aggregate Effect for Efficient Cache Replacement in Transcoding Proxies
IEEE Transactions on Parallel and Distributed Systems
Online multicast routing with bandwidth guarantees: a new approach using multicast network flow
IEEE/ACM Transactions on Networking (TON)
Shortest Path Auction Algorithm Without Contractions Using Virtual Source Concept
Computational Optimization and Applications
Journal of Experimental Algorithmics (JEA)
A Network-Flow-Based Scheduler: Design, Performance History, and Experimental Analysis
Journal of Experimental Algorithmics (JEA)
Traffic engineering with estimated traffic matrices
Proceedings of the 3rd ACM SIGCOMM conference on Internet measurement
Routing restorable bandwidth guaranteed connections using maximum 2-route flows
IEEE/ACM Transactions on Networking (TON)
Connectivity-based garbage collection
OOPSLA '03 Proceedings of the 18th annual ACM SIGPLAN conference on Object-oriented programing, systems, languages, and applications
Finding all essential terms of a characteristic maxpolynomial
Discrete Applied Mathematics
Which matrices are immune against the transportation paradox?
Discrete Applied Mathematics
The Image Foresting Transform: Theory, Algorithms, and Applications
IEEE Transactions on Pattern Analysis and Machine Intelligence
Bottleneck analysis for network flow model
Advances in Engineering Software
A case for OO -- Java -- in teaching algorithm analysis
PPPJ '03 Proceedings of the 2nd international conference on Principles and practice of programming in Java
Fully dynamic shortest paths in digraphs with arbitrary arc weights
Journal of Algorithms
Δ-stepping: a parallelizable shortest path algorithm
Journal of Algorithms
Computer Networks: The International Journal of Computer and Telecommunications Networking
What Energy Functions Can Be Minimizedvia Graph Cuts?
IEEE Transactions on Pattern Analysis and Machine Intelligence
Computational Linguistics - Special issue on web as corpus
Open shop scheduling problems with late work criteria
Discrete Applied Mathematics
Discrete Applied Mathematics
Power minimization algorithms for LUT-based FPGA technology mapping
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Sensitivity Analysis for Scheduling Problems
Journal of Scheduling
Solving the Convex Cost Integer Dual Network Flow Problem
Management Science
On the Circuit Cover Problem for Mixed Graphs
Combinatorics, Probability and Computing
Models of translational equivalence among words
Computational Linguistics
Using locality of reference to improve performance of peer-to-peer applications
WOSP '04 Proceedings of the 4th international workshop on Software and performance
Discrete Applied Mathematics - The 1st cologne-twente workshop on graphs and combinatorial optimization (CTW 2001)
Parsing free word order languages in the Paninian framework
ACL '93 Proceedings of the 31st annual meeting on Association for Computational Linguistics
DYNAMIC VISIT-ORDER RULES FOR BATCH-SERVICE POLLING
Probability in the Engineering and Informational Sciences
Cooperative facility location games
Journal of Algorithms - Special issue: SODA 2000
Improving time bounds on maximum generalised flow computations by contracting the network
Theoretical Computer Science - Special issue on automata, languages and programming
Mechanisms for a spatially distributed market
EC '04 Proceedings of the 5th ACM conference on Electronic commerce
GEMA: An Object Replacement Algorithm for Cooperative Web Proxy Systems
Multimedia Tools and Applications
Average-case complexity of single-source shortest-paths algorithms: lower and upper bounds
Journal of Algorithms - Special issue: Twelfth annual ACM-SIAM symposium on discrete algorithms
Interval data minmax regret network optimization problems
Discrete Applied Mathematics
Optical proximity correction (OPC): friendly maze routing
Proceedings of the 41st annual Design Automation Conference
Interprocessor Communication with Limited Memory
IEEE Transactions on Parallel and Distributed Systems
Circular arrangements and cyclic broadcast scheduling
Journal of Algorithms
Solving fractional packing problems in Oast(1/ε) iterations
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Computing Nash equilibria for scheduling on restricted parallel links
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
An exact algorithm for the robust shortest path problem with interval data
Computers and Operations Research
Learning phonetic similarity for matching named entity translations and mining new translations
Proceedings of the 27th annual international ACM SIGIR conference on Research and development in information retrieval
Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
A Min-Cost Flow Based Detailed Router for FPGAs
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Fundamental limits of networked sensing: the flow optimization framework
Wireless sensor networks
Routing in a delay tolerant network
Proceedings of the 2004 conference on Applications, technologies, architectures, and protocols for computer communications
Work-conserving distributed schedulers for Terabit routers
Proceedings of the 2004 conference on Applications, technologies, architectures, and protocols for computer communications
Improving Data Locality by Array Contraction
IEEE Transactions on Computers
A generalization of the scaling max-flow algorithm
Computers and Operations Research
Scheduling satellite-switched time-division multiple access with general switching modes
IEEE/ACM Transactions on Networking (TON)
A label-setting algorithm for finding a quickest path
Computers and Operations Research
Automatic tiling of iterative stencil loops
ACM Transactions on Programming Languages and Systems (TOPLAS)
Firmato: A novel firewall management toolkit
ACM Transactions on Computer Systems (TOCS)
Energy-aware broadcast trees in wireless networks
Mobile Networks and Applications
Semantic Approximation of Data Stream Joins
IEEE Transactions on Knowledge and Data Engineering
Heuristic and exact algorithms for the spanning tree detection problem
Computers and Operations Research
Reliable and economic resource allocation in an unreliable flow network
Computers and Operations Research
Polynomial approximation schemes and exact algorithms for optimum curve segmentation problems
Discrete Applied Mathematics - Discrete mathematics & data mining (DM & DM)
Algorithmic aspects of hardware/software partitioning
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Sequential and parallel algorithms for minimum flows
The Korean Journal of Computational & Applied Mathematics
Salient Closed Boundary Extraction with Ratio Contour
IEEE Transactions on Pattern Analysis and Machine Intelligence
A Progressive Register Allocator for Irregular Architectures
Proceedings of the international symposium on Code generation and optimization
Effective Lower Bounding Techniques for Pseudo-Boolean Optimization
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Routing demands with time-varying bandwidth profiles on a MPLS network
Computer Networks: The International Journal of Computer and Telecommunications Networking
Chips on wafers, or packing rectangles into grids
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
Approximating optimal spare capacity allocation by successive survivable routing
IEEE/ACM Transactions on Networking (TON)
Dynamic Pricing and the Direct-to-Customer Model in the Automotive Industry
Electronic Commerce Research
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Journal of Global Optimization
Demand-wise Shared Protection for Meshed Optical Networks
Journal of Network and Systems Management
Max-flow problem in undirected planar networks with node capacities being in NC
Journal of Computer Science and Technology
A distributed coordination framework for wireless sensor and actor networks
Proceedings of the 6th ACM international symposium on Mobile ad hoc networking and computing
Mountain reduction, block matching, and applications in intensity-modulated radiation therapy
SCG '05 Proceedings of the twenty-first annual symposium on Computational geometry
Algorithmic aspects of capacity in wireless networks
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Proceedings of the 2005 ACM symposium on Applied computing
Hybrid Lagrangian relaxation for bandwidth-constrained routing: knapsack decomposition
Proceedings of the 2005 ACM symposium on Applied computing
An O(VE) algorithm for ear decompositions of matching-covered graphs
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Greedy optimal homotopy and homology generators
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
Cross-layer optimization in TCP/IP networks
IEEE/ACM Transactions on Networking (TON)
Used car salesman problem: A differential auction--barter market
Annals of Mathematics and Artificial Intelligence
A polynomial-time algorithm for memory space reduction
International Journal of Parallel Programming
Object-oriented algorithm analysis and design with Java
Science of Computer Programming - Special issue on principles and practice of programming in java (PPPJ 2003)
Characterizing achievable rates in multi-hop wireless mesh networks with orthogonal channels
IEEE/ACM Transactions on Networking (TON)
Three-dimensional routing in underwater acoustic sensor networks
PE-WASUN '05 Proceedings of the 2nd ACM international workshop on Performance evaluation of wireless ad hoc, sensor, and ubiquitous networks
Virtual backbone based on MCDS for topology control in wireless ad hoc networks
PE-WASUN '05 Proceedings of the 2nd ACM international workshop on Performance evaluation of wireless ad hoc, sensor, and ubiquitous networks
Computers and Operations Research
Exact disclosure prevention in two-dimensional statistical tables
Computers and Operations Research
Very Large-Scale Neighborhood Search for the K-Constraint Multiple Knapsack Problem
Journal of Heuristics
Minimizing Total Weighted Tardiness in a Generalized Job Shop
Journal of Scheduling
A column generation approach for IGP weight setting problem
CoNEXT '05 Proceedings of the 2005 ACM conference on Emerging network experiment and technology
Information Processing Letters
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Multiple Paths Extraction in Images Using a Constrained Expanded Trellis
IEEE Transactions on Pattern Analysis and Machine Intelligence
Computers and Operations Research - Articles presented at the conference on routing and location (CORAL)
Optimal peer selection for minimum-delay peer-to-peer streaming with rateless codes
Proceedings of the ACM workshop on Advances in peer-to-peer multimedia streaming
Global and Local Search Algorithms for Concave Cost Transshipment Problems
Journal of Global Optimization
An O(VE) algorithm for ear decompositions of matching-covered graphs
ACM Transactions on Algorithms (TALG)
On the capacity of information networks
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
An algorithmic Friedman--Pippenger theorem on tree embeddings and applications to routing
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Dial-Up Internet Access: A Two-Provider Cost Model
Queueing Systems: Theory and Applications
Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
A unified theory of timing budget management
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Computers and Operations Research
Multicast tree rearrangement to recover node failures in overlay multicast networks
Computers and Operations Research
Scheduling unit length jobs with parallel nested machine processing set restrictions
Computers and Operations Research
A Local Relaxation Approach for the Siting of Electrical Substations
Computational Optimization and Applications
Towards minimization of test sets for human-computer systems
IEA/AIE'2005 Proceedings of the 18th international conference on Innovations in Applied Artificial Intelligence
Minimum spanning trees in hierarchical multiclass support vector machines generation
IEA/AIE'2005 Proceedings of the 18th international conference on Innovations in Applied Artificial Intelligence
A Surface Reconstruction Method Using Global Graph Cut Optimization
International Journal of Computer Vision
From words to corpora: recognizing translation
EMNLP '02 Proceedings of the ACL-02 conference on Empirical methods in natural language processing - Volume 10
A technology mapping algorithm for heterogeneous FPGAs
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Efficient LUT-based FPGA technology mapping for power minimization
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Floorplanning with power supply noise avoidance
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Exact and approximate balanced data gathering in energy-constrained sensor networks
Theoretical Computer Science - Algorithmic aspects of wireless sensor networks
An optimal algorithm for similarity based entity association
ACM-SE 33 Proceedings of the 33rd annual on Southeast regional conference
A hybrid Lagrangian genetic algorithm for the prize collecting Steiner tree problem
Computers and Operations Research
Efficient algorithms for buffer insertion in general circuits based on network flow
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Integrated placement and skew optimization for rotary clocking
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Graph partitioning using single commodity flows
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
Linear tolls suffice: new bounds and algorithms for tolls in single source networks
Theoretical Computer Science - Automata, languages and programming: Algorithms and complexity (ICALP-A 2004)
Survivable virtual concatenation for data over SONET/SDH in optical transport networks
IEEE/ACM Transactions on Networking (TON)
A global progressive register allocator
Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation
An evolutionary algorithm for discrete tomography
Discrete Applied Mathematics - Special issue: IWCIA 2003 - Ninth international workshop on combinatorial image analysis
Optimization and reconstruction of hv-convex (0, 1)-matrices
Discrete Applied Mathematics - Special issue: IWCIA 2003 - Ninth international workshop on combinatorial image analysis
Dynamic placement for clustered web applications
Proceedings of the 15th international conference on World Wide Web
Two flow network simplification algorithms
Information Processing Letters
Computers and Operations Research
Computers and Operations Research
Semi-matchings for bipartite graphs and load balancing
Journal of Algorithms
Parameterized graph separation problems
Theoretical Computer Science - Parameterized and exact computation
Path problems in generalized stars, complete graphs, and brick wall graphs
Discrete Applied Mathematics - Special issue: Efficient algorithms
Supplier network management: evaluating and rating of strategic supply networks
Proceedings of the 2006 ACM symposium on Applied computing
Database support for matching: limitations and opportunities
Proceedings of the 2006 ACM SIGMOD international conference on Management of data
Heuristic shortest path algorithms for transportation applications: state of the art
Computers and Operations Research
Simultaneous tracking of multiple body parts of interacting persons
Computer Vision and Image Understanding
On finding optimal paths in multi-radio, multi-hop mesh networks using WCETT metric
Proceedings of the 2006 international conference on Wireless communications and mobile computing
An annotated bibliography of combinatorial optimization problems with fixed cardinality constraints
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
On using priced timed automata to achieve optimal scheduling
Formal Methods in System Design
Optimality study of resource binding with multi-Vdds
Proceedings of the 43rd annual Design Automation Conference
Energy-aware on-demand routing protocols for wireless ad hoc networks
Wireless Networks
Minimum-energy broadcasting in multi-hop wireless networks using a single broadcast tree
Mobile Networks and Applications
A visualization software for the network simplex algorithm
SoftVis '06 Proceedings of the 2006 ACM symposium on Software visualization
Matrix games in the multicast networks: maximum information flows with network switching
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
On achieving maximum multicast throughput in undirected networks
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
Minimum-cost multicast over coded packet networks
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
On the severity of Braess's paradox: designing networks for selfish users is hard
Journal of Computer and System Sciences - Special issue on FOCS 2001
Oracles for bounded-length shortest paths in planar graphs
ACM Transactions on Algorithms (TALG)
Maximizing network lifetime of broadcasting over wireless stationary ad hoc networks
Mobile Networks and Applications
A link weight assignment algorithm for traffic-engineered networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Optimal path selection for minimizing the differential delay in ethernet-over-SONET
Computer Networks: The International Journal of Computer and Telecommunications Networking
Hospital evacuation: issues and complexities
WSC '05 Proceedings of the 37th conference on Winter simulation
Reduction from three-dimensional discrete tomography to multicommodity flow problem
Theoretical Computer Science - In memoriam: Alberto Del Lungo (1965-2003)
Using expander graphs to find vertex connectivity
Journal of the ACM (JACM)
Clustering and load balancing in hybrid sensor networks with mobile cluster heads
QShine '06 Proceedings of the 3rd international conference on Quality of service in heterogeneous wired/wireless networks
A progressive flow auction approach for low-cost on-demand P2P media streaming
QShine '06 Proceedings of the 3rd international conference on Quality of service in heterogeneous wired/wireless networks
A combinatorial approximation algorithm for concurrent flow problem and its application
Computers and Operations Research
Journal of Mathematical Imaging and Vision
Design of IEEE 802.16-based multi-hop wireless backhaul networks
AcessNets '06 Proceedings of the 1st international conference on Access networks
Duplicate Record Detection: A Survey
IEEE Transactions on Knowledge and Data Engineering
Accurate and Scalable Surface Representation and Reconstruction from Images
IEEE Transactions on Pattern Analysis and Machine Intelligence
Algorithms for the multiple label placement problem
Computational Geometry: Theory and Applications
Named entity translation matching and learning: With application for mining unseen translations
ACM Transactions on Information Systems (TOIS)
Analysis of OBS networks with limited wavelength conversion
IEEE/ACM Transactions on Networking (TON)
A sentimental education: sentiment analysis using subjectivity summarization based on minimum cuts
ACL '04 Proceedings of the 42nd Annual Meeting on Association for Computational Linguistics
An improved approximation algorithm for the asymmetric TSP with strengthened triangle inequality
Journal of Discrete Algorithms
Heuristic approaches for batching jobs in printed circuit board assembly
Computers and Operations Research
Protein cellular localization prediction with Support Vector Machines and Decision Trees
Computers in Biology and Medicine
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computers and Operations Research
Enhanced second order algorithm applied to the capacitated minimum spanning tree problem
Computers and Operations Research
How to collect balls moving in the Euclidean plane
Discrete Applied Mathematics - Special issue: Discrete algorithms and optimization, in honor of professor Toshihide Ibaraki at his retirement from Kyoto University
Flow equivalent trees in undirected node-edge-capacitated planar graphs
Information Processing Letters
An approximation algorithm for the load-balanced semi-matching problem in weighted bipartite graphs
Information Processing Letters
Multi-path routing versus tree routing for VPN bandwidth provisioning in the hose model
Computer Networks: The International Journal of Computer and Telecommunications Networking
An epistemic framework for privacy protection in database linking
Data & Knowledge Engineering
Understanding optimal data gathering in the energy and latency domains of a wireless sensor network
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
The Application of Non-Crossing Partitions to Improving Percolation Threshold Bounds
Combinatorics, Probability and Computing
Provisioning overlay distribution networks
Computer Networks: The International Journal of Computer and Telecommunications Networking - Special issue: Networking issues in entertainment computing
A revisit to floorplan optimization by Lagrangian relaxation
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Some Optimization Models for Manpower Planning
Information-Knowledge-Systems Management
Combinatorial approaches to QoS scheduling in multichannel infrastructure wireless networks
WICON '06 Proceedings of the 2nd annual international workshop on Wireless internet
Vehicular grid communications: the role of the internet infrastructure
WICON '06 Proceedings of the 2nd annual international workshop on Wireless internet
Lexicographically Minimum and Maximum Load Linear Programming Problems
Operations Research
Location-Routing Problems with Distance Constraints
Transportation Science
Proceedings of the 6th international conference on Information processing in sensor networks
Prim-based support-graph preconditioners for min-cost flow problems
Computational Optimization and Applications
A conversion of an SDP having free variables into the standard form SDP
Computational Optimization and Applications
Complexity and approximability of k-splittable flows
Theoretical Computer Science
A network flow approach to the minimum common integer partition problem
Theoretical Computer Science
A Network Flow Algorithm for Reconstructing Binary Images from Discrete X-rays
Journal of Mathematical Imaging and Vision
Resource bartering in data grids
Scientific Programming
An efficient packet scheduling algorithm with deadline guarantees for input-queued switches
IEEE/ACM Transactions on Networking (TON)
A scalable application placement controller for enterprise data centers
Proceedings of the 16th international conference on World Wide Web
A faster combinatorial approximation algorithm for scheduling unrelated parallel machines
Theoretical Computer Science
Heuristic Search for the Generalized Minimum Spanning Tree Problem
INFORMS Journal on Computing
A Computational Study of Cost Reoptimization for Min-Cost Flow Problems
INFORMS Journal on Computing
The Shortest-Path Problem with Resource Constraints and k-Cycle Elimination for k ≥ 3
INFORMS Journal on Computing
A Multiple Pairs Shortest Path Algorithm
Transportation Science
Solving Real-Life Locomotive-Scheduling Problems
Transportation Science
The Profitable Arc Tour Problem: Solution with a Branch-and-Price Algorithm
Transportation Science
A Transportation Problem with Minimum Quantity Commitment
Transportation Science
A Zero-Space algorithm for Negative Cost Cycle Detection in networks
Journal of Discrete Algorithms
Degree-constrained network flows
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Minimizing Nonsubmodular Functions with Graph Cuts-A Review
IEEE Transactions on Pattern Analysis and Machine Intelligence
Design closure driven delay relaxation based on convex cost network flow
Proceedings of the conference on Design, automation and test in Europe
Analysis of Topology Aggregation techniques for QoS routing
ACM Computing Surveys (CSUR)
SCOPES '07 Proceedingsof the 10th international workshop on Software & compilers for embedded systems
IEEE Transactions on Computers
Finding total unimodularity in optimization problems solved by linear programs
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
Multiline addressing by network flow
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
Comparative tests of solution methods for signal-controlled road networks
Information Sciences: an International Journal
Multipath routing algorithms for congestion minimization
IEEE/ACM Transactions on Networking (TON)
EURASIP Journal on Wireless Communications and Networking
Trade-offs between mobility and density for coverage in wireless sensor networks
Proceedings of the 13th annual ACM international conference on Mobile computing and networking
A double scaling algorithm for the constrained maximum flow problem
Computers and Operations Research
Cell suppression problem: A genetic-based approach
Computers and Operations Research
Foundations and Trends® in Networking
The power of tuning: a novel approach for the efficient design of survivable networks
IEEE/ACM Transactions on Networking (TON)
Generalized survivable network
IEEE/ACM Transactions on Networking (TON)
Real time route analysis based on floating car technology
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
A tabu search heuristic for the quay crane scheduling problem
Journal of Scheduling
GRAFT, a complete system for data fusion
Computational Statistics & Data Analysis
Proceedings of the 3rd ACM workshop on QoS and security for wireless and mobile networks
Hierarchical maximal-coverage location-allocation: Case of generalized search-and-rescue
Computers and Operations Research
Matching point sets with respect to the Earth Mover's Distance
Computational Geometry: Theory and Applications
SenCar: An Energy-Efficient Data Gathering Mechanism for Large-Scale Multihop Sensor Networks
IEEE Transactions on Parallel and Distributed Systems
Product Life-Cycle Metadata Modeling and Its Application with RDF
IEEE Transactions on Knowledge and Data Engineering
Communication and Coordination in Wireless Sensor and Actor Networks
IEEE Transactions on Mobile Computing
Dynamic Graph Cuts for Efficient Inference in Markov Random Fields
IEEE Transactions on Pattern Analysis and Machine Intelligence
Integrated placement and skew optimization for rotary clocking
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Computational Statistics & Data Analysis
Reducing rank-maximal to maximum weight matching
Theoretical Computer Science
Routing optimization in IP networks utilizing additive and concave link metrics
IEEE/ACM Transactions on Networking (TON)
2D Euclidean distance transform algorithms: A comparative survey
ACM Computing Surveys (CSUR)
Proceedings of the 3rd ACM/IEEE Symposium on Architecture for networking and communications systems
Methods based on discrete optimization for finding road network rehabilitation strategies
Computers and Operations Research
Multicommodity network flow approach to the railroad crew-scheduling problem
IBM Journal of Research and Development - Business optimization
Chain programming over difference constraints
Nordic Journal of Computing
Computer Networks: The International Journal of Computer and Telecommunications Networking
A self-adjusting clock tree architecture to cope with temperature variations
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
An efficient algorithm for statistical circuit optimization using Lagrangian relaxation
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Incremental component implementation selection: enabling ECO in compositional system synthesis
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Early planning for clock skew scheduling during register binding
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
BioRoute: a network-flow based routing algorithm for digital microfluidic biochips
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Efficient preflow push algorithms
Computers and Operations Research
Faster approximation schemes for fractional multicommodity flow problems
ACM Transactions on Algorithms (TALG)
Time offset optimization in digital broadcasting
Discrete Applied Mathematics
rStream: Resilient and Optimal Peer-to-Peer Streaming with Rateless Codes
IEEE Transactions on Parallel and Distributed Systems
Evacuation route planning: scalable heuristics
Proceedings of the 15th annual ACM international symposium on Advances in geographic information systems
Proceedings of the 15th ACM Mardi Gras conference: From lightweight mash-ups to lambda grids: Understanding the spectrum of distributed computing requirements, applications, tools, infrastructures, interoperability, and the incremental adoption of key capabilities
A Network Flow Algorithm for Reconstructing Binary Images from Continuous X-rays
Journal of Mathematical Imaging and Vision
Computational Optimization and Applications
Multicast algorithms in service overlay networks
Computer Communications
Best routes selection in international intermodal networks
Computers and Operations Research
Positioning of goods in a cross-docking environment
Computers and Industrial Engineering
Towards Interference-Aware Routing for Real-time Traffic in Multi-hop Wireless Networks
DS-RT '07 Proceedings of the 11th IEEE International Symposium on Distributed Simulation and Real-Time Applications
Improved algorithms for orienteering and related problems
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Information Assurance: Dependability and Security in Networked Systems
Information Assurance: Dependability and Security in Networked Systems
Real-time tour construction for a mobile robot in a dynamic environment
Robotics and Autonomous Systems
Rethinking virtual network embedding: substrate support for path splitting and migration
ACM SIGCOMM Computer Communication Review
The prize collecting Steiner tree problem: models and Lagrangian dual optimization approaches
Computational Optimization and Applications
Behavioral synthesis with activating unused flip-flops for reducing glitch power in FPGA
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Methods for path and service planning under route constraints
International Journal of Computer Applications in Technology
Methods for path and service planning under route constraints
International Journal of Computer Applications in Technology
A decentralised-control protocol for Source Specific Multicast
International Journal of High Performance Computing and Networking
A guide to statistical analysis for single criteria heuristics evaluation
International Journal of Innovative Computing and Applications
Traffic driven multiple constraint-optimisation for QoS routing
International Journal of Internet Protocol Technology
Computers and Operations Research
An algorithm for ranking assignments using reoptimization
Computers and Operations Research
All-to-all disjoint multipath routing using cycle embedding
Computer Networks: The International Journal of Computer and Telecommunications Networking
Primal-dual approach for directed vertex connectivity augmentation and generalizations
ACM Transactions on Algorithms (TALG)
Microdrivers: a new architecture for device drivers
HOTOS'07 Proceedings of the 11th USENIX workshop on Hot topics in operating systems
A semi-automatic approach for workflow staff assignment
Computers in Industry
Computing H/D-exchange speeds of single residues from data of peptic fragments
Proceedings of the 2008 ACM symposium on Applied computing
Fair and efficient scheduling in data ferrying networks
CoNEXT '07 Proceedings of the 2007 ACM CoNEXT conference
On improving the efficiency and manageability of NotVia
CoNEXT '07 Proceedings of the 2007 ACM CoNEXT conference
A channel assignment algorithm for multi-radio wireless mesh networks
Computer Communications
Fast reroute with pre-established bypass tunnel in MPLS
Computer Communications
On shortest path representation
IEEE/ACM Transactions on Networking (TON)
Distributed algorithms for secure multipath routing in attack-resistant networks
IEEE/ACM Transactions on Networking (TON)
The minimum spanning tree problem with fuzzy costs
Fuzzy Optimization and Decision Making
SIGMETRICS '08 Proceedings of the 2008 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Relative competitive analysis of cache replacement policies
Proceedings of the 2008 ACM SIGPLAN-SIGBED conference on Languages, compilers, and tools for embedded systems
Capacity constrained assignment in spatial databases
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
A model and heuristic algorithms for multi-unit nondiscriminatory combinatorial auction
Computers and Operations Research
Game theoretic models for detecting network intrusions
Computer Communications
A new approximation algorithm for the asymmetric TSP with triangle inequality
ACM Transactions on Algorithms (TALG)
Discrete mathematical models in the analysis of splitting iterative methods for linear systems
Computers & Mathematics with Applications
Opportunistic scheduling for OFDM systems with fairness constraints
EURASIP Journal on Wireless Communications and Networking - Cognitive Radio and Dynamic Spectrum Sharing Systems
A generalized network flow based algorithm for power-aware FPGA memory mapping
Proceedings of the 45th annual Design Automation Conference
An efficient incremental algorithm for min-area retiming
Proceedings of the 45th annual Design Automation Conference
An empirical analysis of algorithms for partially Clairvoyant scheduling
International Journal of Parallel, Emergent and Distributed Systems
Experiments with a hybrid interior point/combinatorial approach for network flow problems
Optimization Methods & Software
A proximal subgradient projection algorithm for linearly constrained strictly convex problems
Optimization Methods & Software
Minimizing a monotone concave function with laminar covering constraints
Discrete Applied Mathematics
Approximate labelled subtree homeomorphism
Journal of Discrete Algorithms
Discovering correlated spatio-temporal changes in evolving graphs
Knowledge and Information Systems
International Journal of Autonomous and Adaptive Communications Systems
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 3
Simultaneous FU and register binding based on network flow method
Proceedings of the conference on Design, automation and test in Europe
Joint resource conserving and load distributing approaches for routing of survivable connections
Computer Communications
Identical part production in cyclic robotic cells: Concepts, overview and open questions
Discrete Applied Mathematics
Extended dominance and a stochastic shortest path problem
Computers and Operations Research
Topology cuts: A novel min-cut/max-flow algorithm for topology preserving segmentation in N-D images
Computer Vision and Image Understanding
Simulation of the Electron Tunneling Paths in Networks of Nano-particle Films
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part II
On Efficiency Group Multicasting Algorithm with Multiple Minimum Steiner Trees
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part III: ICCS 2007
Efficient Algorithms for k-Disjoint Paths Problems on DAGs
AAIM '07 Proceedings of the 3rd international conference on Algorithmic Aspects in Information and Management
Computing Tight Time Windows for RCPSPWET with the Primal-Dual Method
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Subgoal Identification for Reinforcement Learning and Planning in Multiagent Problem Solving
MATES '07 Proceedings of the 5th German conference on Multiagent System Technologies
A Scalable Multilevel Algorithm for Graph Clustering and Community Structure Detection
Algorithms and Models for the Web-Graph
Path Generation Issues for Survivable Network Design
ICCSA '08 Proceedings of the international conference on Computational Science and Its Applications, Part II
Efficient Algorithms for the k Smallest Cuts Enumeration
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Computing Maximum Flows in Undirected Planar Networks with Both Edge and Vertex Capacities
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Column Generation Algorithms for the Capacitated m-Ring-Star Problem
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Physics Based Algorithms for Sparse Graph Visualization
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
Integer Maximum Flow in Wireless Sensor Networks with Energy Constraint
SWAT '08 Proceedings of the 11th Scandinavian workshop on Algorithm Theory
Tracking Moving Objects in Anonymized Trajectories
DEXA '08 Proceedings of the 19th international conference on Database and Expert Systems Applications
Real-Time Railway Network Simulator "KUTTY"
ACRI '08 Proceedings of the 8th international conference on Cellular Automata for Reseach and Industry
Approximating Multi-criteria Max-TSP
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
The Partial Augment---Relabel Algorithm for the Maximum Flow Problem
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Approximating Minimum Multicuts by Evolutionary Multi-objective Algorithms
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Flow-Based Propagators for the SEQUENCE and Related Global Constraints
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Network Formation Using Ant Colony Optimization
ANTS '08 Proceedings of the 6th international conference on Ant Colony Optimization and Swarm Intelligence
Ant Algorithm Applied in the Minimal Cost Maximum Flow Problem
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
Note: An addendum on the incremental assignment problem
Information Sciences: an International Journal
Optimization Methods & Software - THE JOINT EUROPT-OMS CONFERENCE ON OPTIMIZATION, 4-7 JULY, 2007, PRAGUE, CZECH REPUBLIC, PART I
Spatially modelling pathways of migratory birds for nature reserve site selection
International Journal of Geographical Information Science
Finding rectilinear least cost paths in the presence of convex polygonal congested regions
Computers and Operations Research
Fault-tolerant stream processing using a distributed, replicated file system
Proceedings of the VLDB Endowment
Distributed network utility maximization in wireless networks with a bounded number of paths
Proceedings of the 3nd ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Topics in Multi-User Information Theory
Foundations and Trends in Communications and Information Theory
A comparison of solution strategies for biobjective shortest path problems
Computers and Operations Research
Toward the optimal multirate multicast for lossy packet network
MM '08 Proceedings of the 16th ACM international conference on Multimedia
Network location of a reliable center using the most reliable route policy
Computers and Operations Research
A new approach for designing fault-tolerant WDM networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Generic iterative subset algorithms for discrete tomography
Discrete Applied Mathematics
Heuristics for capacity planning problems with congestion
Computers and Operations Research
A two-phase algorithm for the biobjective integer minimum cost flow problem
Computers and Operations Research
About preflow algorithms for the minimum flow problem
WSEAS Transactions on Computer Research
Feature Selection in Taxonomies with Applications to Paleontology
DS '08 Proceedings of the 11th International Conference on Discovery Science
Congestion Games with Linearly Independent Paths: Convergence Time and Price of Anarchy
SAGT '08 Proceedings of the 1st International Symposium on Algorithmic Game Theory
Journal of Network and Systems Management
Sequential and parallel deficit scaling algorithms for minimum flow in bipartite networks
WSEAS Transactions on Computers
An algorithm for minimum flows
WSEAS Transactions on Computers
Choosing robust solutions in discrete optimization problems with fuzzy costs
Fuzzy Sets and Systems
On the approximability of minmax (regret) network optimization problems
Information Processing Letters
Bottleneck flows in unit capacity networks
Information Processing Letters
Time-Aggregated Graphs for Modeling Spatio-temporal Networks
Journal on Data Semantics XI
A comparison of five heuristics for the multiple depot vehicle scheduling problem
Journal of Scheduling
Executability of scenarios in Petri nets
Theoretical Computer Science
Finding non-dominated solutions in bi-objective integer network flow problems
Computers and Operations Research
Network flow-based power optimization under timing constraints in MSV-driven floorplanning
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Constrained aggressor set selection for maximum coupling noise
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
A parallel algorithm for the minimum flow problem in bipartite networks
ICCOMP'08 Proceedings of the 12th WSEAS international conference on Computers
Decreasing path algorithm for minimum flows: dynamic tree implementations
ICCOMP'08 Proceedings of the 12th WSEAS international conference on Computers
Bandwidth guaranteed routing with fast restoration against link and node failures
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Optimized union of non-disjoint distributed data sets
Proceedings of the 12th International Conference on Extending Database Technology: Advances in Database Technology
On column generation formulations for the RWA problem
Discrete Applied Mathematics
Minimum-weight cycle covers and their approximability
Discrete Applied Mathematics
Geographic and energy-aware routing in Wireless Sensor Networks
International Journal of Ad Hoc and Ubiquitous Computing
Strongly Secure Linear Network Coding
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Constructing folksonomies from user-specified relations on flickr
Proceedings of the 18th international conference on World wide web
Combinatorial optimization in system configuration design
Automation and Remote Control
VNS and second order heuristics for the min-degree constrained minimum spanning tree problem
Computers and Operations Research
Better than optimum?: register reduction using idle pipelined functional units
Proceedings of the 19th ACM Great Lakes symposium on VLSI
An Optimal Constrained Pruning Strategy for Decision Trees
INFORMS Journal on Computing
Network Formulations of Mixed-Integer Programs
Mathematics of Operations Research
Scheduling of Container Storage and Retrieval
Operations Research
A Hybrid Solution Approach for Ready-Mixed Concrete Delivery
Transportation Science
Homology flows, cohomology cuts
Proceedings of the forty-first annual ACM symposium on Theory of computing
GATEway: symbiotic inter-domain traffic engineering
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
On optimization, dynamics and uncertainty: A tutorial for gene-environment networks
Discrete Applied Mathematics
BICoB '09 Proceedings of the 1st International Conference on Bioinformatics and Computational Biology
Graph partitioning using single commodity flows
Journal of the ACM (JACM)
An improved approximation algorithm for the ATSP with parameterized triangle inequality
Journal of Algorithms
A hybrid meta heuristic algorithm for bi-objective minimum cost flow (BMCF) problem
Advances in Engineering Software
Minimum cuts and shortest homologous cycles
Proceedings of the twenty-fifth annual symposium on Computational geometry
Oblivious routing of highly variable traffic in service overlays and IP backbones
IEEE/ACM Transactions on Networking (TON)
On Total Variation Minimization and Surface Evolution Using Parametric Maximum Flows
International Journal of Computer Vision
Incremental Network Optimization: Theory and Algorithms
Operations Research
Determining the Number of Games Needed to Guarantee an NHL Playoff Spot
CPAIOR '09 Proceedings of the 6th International Conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Evacuation Route Planning Algorithm: Longer Route Preferential
ISNN '09 Proceedings of the 6th International Symposium on Neural Networks on Advances in Neural Networks
An adaptive latency mitigation scheme for massively multiuser virtual environments
Journal of Network and Computer Applications
The wave preflow algorithm for the minimum flow problem
MACMESE'08 Proceedings of the 10th WSEAS international conference on Mathematical and computational methods in science and engineering
Minimum flows in bipartite networks
MACMESE'08 Proceedings of the 10th WSEAS international conference on Mathematical and computational methods in science and engineering
Locally restorable routing of highly variable traffic
IEEE/ACM Transactions on Networking (TON)
Integrated interlayer via planning and pin assignment for 3D ICs
Proceedings of the 11th international workshop on System level interconnect prediction
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Optimal Length Resolution Refutations of Difference Constraint Systems
Journal of Automated Reasoning
Improved Algorithms for Detecting Negative Cost Cycles in Undirected Graphs
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
A Survey on Multiple Objective Minimum Spanning Tree Problems
Algorithmics of Large and Complex Networks
Traffic Networks and Flows over Time
Algorithmics of Large and Complex Networks
INFORMS Journal on Computing
Quality-of-service provisioning via stochastic path selection under Weibullian link delays
The Fourth International Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness & Workshops
Journal of Experimental Algorithmics (JEA)
Two-terminal routing games with unknown active players
Artificial Intelligence
SP-TAG: a routing algorithm in non-stationary transportation networks
Proceedings of the 5th Annual International Conference on Mobile and Ubiquitous Systems: Computing, Networking, and Services
Interdicting a Nuclear-Weapons Project
Operations Research
Connectivity Measures for Internet Topologies on the Level of Autonomous Systems
Operations Research
ICCSA '09 Proceedings of the International Conference on Computational Science and Its Applications: Part I
Integer Programming: Optimization and Evaluation Are Equivalent
WADS '09 Proceedings of the 11th International Symposium on Algorithms and Data Structures
On a pair of job-machine assignment problems with two stages
Computers and Operations Research
A solution method for a car fleet management problem with maintenance constraints
Journal of Heuristics
A Distributed Exact Solution to Compute Inter-domain Multi-constrained Paths
EUNICE '09 Proceedings of the 15th Open European Summer School and IFIP TC6.6 Workshop on The Internet of the Future
Many-to-Many Matching under the l1 Norm
ICIAP '09 Proceedings of the 15th International Conference on Image Analysis and Processing
Single-link failure detection in all-optical networks using monitoring cycles and paths
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Global optimization for first order Markov Random Fields with submodular priors
Discrete Applied Mathematics
Handling inheritance violation for secure interoperation of heterogeneous systems
International Journal of Security and Networks
Going Bunkers: The Joint Route Selection and Refueling Problem
Manufacturing & Service Operations Management
Fast (incremental) algorithms for useful classes of simple temporal problems with preferences
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
About minimum cost flow problem in networks with node capacities
ICCOMP'09 Proceedings of the WSEAES 13th international conference on Computers
Minimum flows in bipartite networks with unit capacities
ICCOMP'09 Proceedings of the WSEAES 13th international conference on Computers
A DSS for integrated distribution of empty and full containers
Decision Support Systems
Progressive spill code placement
CASES '09 Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems
Memory-efficient distribution of regular expressions for fast deep packet inspection
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Flip-chip routing with unified area-I/O pad assignments for package-board co-design
Proceedings of the 46th Annual Design Automation Conference
Maximum thick paths in static and dynamic environments
Computational Geometry: Theory and Applications
How hard is it to find extreme Nash equilibria in network congestion games?
Theoretical Computer Science
Resource temporal networks: definition and complexity
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Scalable detection of partial near-duplicate videos by visual-temporal consistency
MM '09 Proceedings of the 17th ACM international conference on Multimedia
About flow problems in networks with node capacities
WSEAS Transactions on Computers
Improved algorithm for minimum flows in bipartite networks with unit capacities
WSEAS Transactions on Computers
Llull and Copeland voting computationally resist bribery and constructive control
Journal of Artificial Intelligence Research
On multipath routing in multihop wireless networks: security, performance, and their tradeoff
EURASIP Journal on Wireless Communications and Networking - Special issue on wireless network security
Joint power control, scheduling and routing for multihop energy harvesting sensor networks
Proceedings of the 4th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Executing reactive, model-based programs through graph-based temporal planning
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Discrete Applied Mathematics
Chips on wafers, or packing rectangles into grids
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
Algorithms for the multiple label placement problem
Computational Geometry: Theory and Applications
Two-layer hierarchical wavelength routing for islands of transparency optical networks
Computer Communications
Short communication: Routing and wavelength assignment for core-based tree in WDM networks
Computer Communications
Near-optimal tree-based access network design
Computer Communications
Routing demands with time-varying bandwidth profiles on a MPLS network
Computer Networks: The International Journal of Computer and Telecommunications Networking
Provisioning overlay distribution networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Simultaneous tracking of multiple body parts of interacting persons
Computer Vision and Image Understanding
Routing with load balancing: increasing the guaranteed node traffics
IEEE Communications Letters
Min-cost max-flow characterization of shared-FDL optical switches
IEEE Communications Letters
On the joint link dimensioning and routing metric assignment problem for reliable WDM networks
Computers and Electrical Engineering
Energy-efficient routing for signal detection in wireless sensor networks
IEEE Transactions on Signal Processing
Solving Truckload Procurement Auctions Over an Exponential Number of Bundles
Transportation Science
Fast unified floorplan topology generation and sizing on heterogeneous FPGAs
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On the completability of incomplete Latin squares
European Journal of Combinatorics
Attributed relational graph matching based on the nested assignment structure
Pattern Recognition
Towards efficient consistency enforcement for global constraints in weighted constraint satisfaction
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Fuzzy approach to multilevel knapsack problems
Computers & Mathematics with Applications
Guaranteed performance routing of unpredictable traffic with fast path restoration
IEEE/ACM Transactions on Networking (TON)
Uniform resource networks I. Complete graphs
Automation and Remote Control
A network flow based approach for network selection in dynamic spectrum access networks
Information Processing Letters
Computers and Operations Research - Articles presented at the conference on routing and location (CORAL)
Multicast tree rearrangement to recover node failures in overlay multicast networks
Computers and Operations Research
Scheduling unit length jobs with parallel nested machine processing set restrictions
Computers and Operations Research
Computers and Operations Research
A hybrid Lagrangian genetic algorithm for the prize collecting Steiner tree problem
Computers and Operations Research
Computers and Operations Research
Gate sizing by Lagrangian relaxation revisited
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A hybrid approach for robust traffic engineering
ISWPC'09 Proceedings of the 4th international conference on Wireless pervasive computing
Real time route analysis based on Floating Car technology
MS '07 The 18th IASTED International Conference on Modelling and Simulation
On finding fundamental cut sets
Information Processing Letters
Pad assignment for die-stacking System-in-Package design
Proceedings of the 2009 International Conference on Computer-Aided Design
GConnect: a connectivity index for massive disk-resident graphs
Proceedings of the VLDB Endowment
A fair assignment algorithm for multiple preference queries
Proceedings of the VLDB Endowment
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Efficient scheduling algorithms for multi-service multi-slot OFDMA networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Determining a Minimum Spanning Tree with Disjunctive Constraints
ADT '09 Proceedings of the 1st International Conference on Algorithmic Decision Theory
Approximation Algorithms for the Firefighter Problem: Cuts over Time and Submodularity
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
A New Approach for Solving Fuzzy Maximal Flow Problems
RSFDGrC '09 Proceedings of the 12th International Conference on Rough Sets, Fuzzy Sets, Data Mining and Granular Computing
On the mapping between logical and physical topologies
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
Nonlinear synaptic neural network for maximum flow problems
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
An evolutionary algorithm for discrete tomography
Discrete Applied Mathematics - Special issue: IWCIA 2003 - Ninth international workshop on combinatorial image analysis
Optimization and reconstruction of hv-convex (0,1)-matrices
Discrete Applied Mathematics - Special issue: IWCIA 2003 - Ninth international workshop on combinatorial image analysis
Polynomial approximation schemes and exact algorithms for optimum curve segmentation problems
Discrete Applied Mathematics
Path problems in generalized stars, complete graphs, and brick wall graphs
Discrete Applied Mathematics - Special issue: Efficient algorithms
An annotated bibliography of combinatorial optimization problems with fixed cardinality constraints
Discrete Applied Mathematics - Special issue: 2nd cologne/twente workshop on graphs and combinatorial optimization (CTW 2003)
A DSS for water resources management under uncertainty by scenario analysis
Environmental Modelling & Software
Gateway selection and routing in wireless mesh networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Information Processing Letters
On computing minimum (s,t)-cuts in digraphs
Information Processing Letters
Two flow network simplification algorithms
Information Processing Letters
Semi-matchings for bipartite graphs and load balancing
Journal of Algorithms
Optimal capacity allocation for load balanced shortest path routing
HPSR'09 Proceedings of the 15th international conference on High Performance Switching and Routing
On tracking the behavior of an output-queued switch using an input-queued switch
IEEE/ACM Transactions on Networking (TON)
Application of a seeded hybrid genetic algorithm for user interface design
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Ground Delay Program Planning Under Uncertainty Based on the Ration-by-Distance Principle
Transportation Science
Sharing the Cost of a Capacity Network
Mathematics of Operations Research
Optimal matching between spatial datasets under capacity constraints
ACM Transactions on Database Systems (TODS)
Algorithms for memory hierarchies: advanced lectures
Algorithms for memory hierarchies: advanced lectures
A faster algorithm for the single source shortest path problem with few distinct positive lengths
Journal of Discrete Algorithms
On algorithms for the tricriteria shortest path problem with two bottleneck objective functions
Computers and Operations Research
Near-optimal solutions for the generalized max-controlled set problem
Computers and Operations Research
Soft arc consistency revisited
Artificial Intelligence
An overview of Channel Assignment methods for multi-radio multi-channel wireless mesh networks
Journal of Parallel and Distributed Computing
Policy-driven traffic engineering for intra-domain quality of service provisioning
QofIS'02/ICQT'02 Proceedings of the 3rd international conference on quality of future internet services and internet charging and QoS technologies 2nd international conference on From QoS provisioning to QoS charging
An improved approximation algorithm for the asymmetric TSP with strengthened triangle inequality
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
A note on the discrete binary Mumford-Shah model
MIRAGE'07 Proceedings of the 3rd international conference on Computer vision/computer graphics collaboration techniques
Counterexamples in probabilistic model checking
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Flow faster: efficient decision algorithms for probabilistic simulations
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Many-to-many matching of scale-space feature hierarchies using metric embedding
Scale Space'03 Proceedings of the 4th international conference on Scale space methods in computer vision
Combinations of local search and exact algorithms
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
How to swap a failing edge of a single source shortest paths tree
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
WEA'07 Proceedings of the 6th international conference on Experimental algorithms
Efficient computation of minimum exposure paths in a sensor network field
DCOSS'07 Proceedings of the 3rd IEEE international conference on Distributed computing in sensor systems
A robust routing plan to optimize throughput in core networks
ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks
A constant bound on throughput improvement of multicast network coding in undirected networks
IEEE Transactions on Information Theory
Optimal rate allocation in overlay content distribution
NETWORKING'07 Proceedings of the 6th international IFIP-TC6 conference on Ad Hoc and sensor networks, wireless networks, next generation internet
Polygon subdivision for pocket machining process planning
Computers and Industrial Engineering
Continuous spatial assignment of moving users
The VLDB Journal — The International Journal on Very Large Data Bases
Artificial immune system to find a set of k-spanning trees with low costs and distinct topologies
ICARIS'07 Proceedings of the 6th international conference on Artificial immune systems
Minimum-weight cycle covers and their approximability
WG'07 Proceedings of the 33rd international conference on Graph-theoretic concepts in computer science
Single machine common due window scheduling with controllable job processing times
COCOA'07 Proceedings of the 1st international conference on Combinatorial optimization and applications
A compromised large-scale neighborhood search heuristic for cargo loading planning
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
Mechanism design on trust networks
WINE'07 Proceedings of the 3rd international conference on Internet and network economics
Accomplishing approximate FCFS fairness without queues
HiPC'07 Proceedings of the 14th international conference on High performance computing
Very large-scale neighborhood search techniques in timetabling problems
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Timetabling problems at the TU eindhoven
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Hierarchical timetable construction
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Fast and scalable domino portrait generation
CPAIOR'08 Proceedings of the 5th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
A clustering model for multicast on hypercube network
GPC'08 Proceedings of the 3rd international conference on Advances in grid and pervasive computing
Depletable channels: dynamics and behaviour
FCT'09 Proceedings of the 17th international conference on Fundamentals of computation theory
Delay and bandwidth constrained routing with throughput optimization in TDMA-based MANETs
NTMS'09 Proceedings of the 3rd international conference on New technologies, mobility and security
Traffic engineering and routing in IP networks with centralized control
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
Global optimization for first order Markov random fields with submodular priors
IWCIA'08 Proceedings of the 12th international conference on Combinatorial image analysis
An optimal mapping algorithm based on balanced load cutting for DVE systems
IITA'09 Proceedings of the 3rd international conference on Intelligent information technology application
Ab initio whole genome shotgun assembly with mated short reads
RECOMB'08 Proceedings of the 12th annual international conference on Research in computational molecular biology
Proceedings of the forty-second ACM symposium on Theory of computing
Proceedings of the forty-second ACM symposium on Theory of computing
Approximation algorithms for computing minimum exposure paths in a sensor field
ACM Transactions on Sensor Networks (TOSN)
Pricing with markups under horizontal and vertical competition
Proceedings of the Behavioral and Quantitative Game Theory: Conference on Future Directions
Virtual-Flow Multipath Algorithms for MPLS
International Journal of Internet Technology and Secured Transactions
A graph-based resource allocation algorithm for downlink MIMO-OFDMA networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Implementing information paths in a dense wireless sensor network
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Traffic grooming: a changing role in green optical networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Differentiated quality of service in survivable WDM mesh networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Network flow interdiction on planar graphs
Discrete Applied Mathematics
Approximating fault-tolerant Steiner subgraphs in heterogeneous wireless networks
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
ILP formulations for p-cycle design without candidate cycle enumeration
IEEE/ACM Transactions on Networking (TON)
A laplace transform-based method to stochastic path finding
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
The effects of multi-layer traffic on the survivability of IP-over-WDM networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Design of survivable hybrid wireless-optical broadband-access network
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Decomposition for low-complexity near-optimal routing in multi-hop wireless networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Two phase load balanced routing using OSPF
IEEE Journal on Selected Areas in Communications
An MST-based network architecture for sharing broadcast TV programs
IEEE Communications Magazine
COMSNETS'10 Proceedings of the 2nd international conference on COMmunication systems and NETworks
A minimum spanning tree algorithm for efficient P2P video streaming system
ICACT'10 Proceedings of the 12th international conference on Advanced communication technology
Cooperative resource management in cognitive WiMAX with femto cells
INFOCOM'10 Proceedings of the 29th conference on Information communications
Multicast scheduling with cooperation and network coding in cognitive radio networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Minimizing the worst-case playback delay in VoD services over passive optical networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Optimal linear network coding design for secure unicast with multiple streams
INFOCOM'10 Proceedings of the 29th conference on Information communications
Overhearing-aware joint routing and rate selection in multi-hop multi-rate UWB-based WPANs
INFOCOM'10 Proceedings of the 29th conference on Information communications
Balanced allocation with succinct representation
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Management and optimal distribution of large student numbers
Proceedings of the First Kuwait Conference on e-Services and e-Systems
Spending Constraint Utilities with Applications to the Adwords Market
Mathematics of Operations Research
Global routing and track assignment for flip-chip designs
Proceedings of the 47th Design Automation Conference
QoS-based MPLS multicast tree selection algorithms
Proceedings of the 7th International Conference on Frontiers of Information Technology
Multi-robot area coverage with limited visibility
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Solving the Order-Preserving Submatrix Problem via Integer Programming
INFORMS Journal on Computing
An ant colony optimization algorithm for the bi-objective shortest path problem
Applied Soft Computing
A Branch-and-Price Method for a Liquefied Natural Gas Inventory Routing Problem
Transportation Science
The role of max-min fairness in DOCSIS 3.0 downstream channel bonding
Sarnoff'10 Proceedings of the 33rd IEEE conference on Sarnoff
Bandwidth Allocation for Iterative Data-Dependent E-science Applications
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Topology Aggregation for E-science Networks
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Bilevel model for production-distribution planning solved by using ant colony optimization
Computers and Operations Research
Computers and Operations Research
Integer matrices with constraints on leading partial row and column sums
Discrete Applied Mathematics
A software integration approach for designing and assessing dependable embedded systems
Journal of Systems and Software
Finding failure-disjoint paths for path diversity protection in communication networks
IEEE Communications Letters
On guaranteed VoD services in next generation optical access networks
IEEE Journal on Selected Areas in Communications - Special issue on next-generation broadband optical access network technologies
Multiobjective optimization for manpower assignment in consulting engineering firms
Applied Soft Computing
Proceedings of the 2010 conference on Bridging the Socio-technical Gap in Decision Support Systems: Challenges for the Next Decade
NN'10/EC'10/FS'10 Proceedings of the 11th WSEAS international conference on nural networks and 11th WSEAS international conference on evolutionary computing and 11th WSEAS international conference on Fuzzy systems
WSEAS Transactions on Computers
An enhanced indoor pedestrian model supporting spatial DBMSs
Proceedings of the 2nd ACM SIGSPATIAL International Workshop on Indoor Spatial Awareness
On scheduling a single machine with resource dependent release times
Computers and Operations Research
Multi-objective and multi-constrained non-additive shortest path problems
Computers and Operations Research
ACM Transactions on Algorithms (TALG)
Cross-layer design for efficient resource utilization in wimedia UWB-based WPANs
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Optimal placement of UV-based communications relay nodes
Journal of Global Optimization
A heuristic method for the minimum toll booth problem
Journal of Global Optimization
Multicore parallelization of min-cost flow for CAD applications
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special section on the ACM IEEE international conference on formal methods and models for codesign (MEMOCODE) 2009
Exact algorithms for coloring graphs while avoiding monochromatic cycles
AAIM'10 Proceedings of the 6th international conference on Algorithmic aspects in information and management
Minimum energy cost k-barrier coverage in wireless sensor networks
WASA'10 Proceedings of the 5th international conference on Wireless algorithms, systems, and applications
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Maximum flows on disjoint paths
APPROX/RANDOM'10 Proceedings of the 13th international conference on Approximation, and 14 the International conference on Randomization, and combinatorial optimization: algorithms and techniques
Solving the Curfew Planning Problem
Transportation Science
Mining and explaining relationships in wikipedia
DEXA'10 Proceedings of the 21st international conference on Database and expert systems applications: Part II
Scheduling large-scale micro/nano biochemical testing: Exact and heuristic algorithms
Computers and Operations Research
Measuring clinical pathway adherence
Journal of Biomedical Informatics
Updating Network Flows Given Multiple, Heterogeneous Arc Attribute Changes
Journal of Mathematical Modelling and Algorithms
A low complexity shortest path tree restoration scheme for IP networks
IEEE Communications Letters
Cooperative transmission in a wireless relay network based on flow management
IEEE Transactions on Communications
Minimization of monotonically levelable higher order MRF energies via graph cuts
IEEE Transactions on Image Processing
An optimal VP-based multicast routing in ATM networks
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 3
Solving generic role assignment exactly
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Algorithm engineering: bridging the gap between algorithm theory and practice
Algorithm engineering: bridging the gap between algorithm theory and practice
Floorplanning and topology generation for application-specific network-on-chip
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Multiple machine continuous setup lotsizing with sequence-dependent setups
Computational Optimization and Applications
A Fast Algorithm for Computing Geodesic Distances in Tree Space
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Minimal-cost network flow problems with variable lower bounds on arc flows
Computers and Operations Research
Generating databases for query workloads
Proceedings of the VLDB Endowment
Annotating and searching web tables using entities, types and relationships
Proceedings of the VLDB Endowment
SecondNet: a data center network virtualization architecture with bandwidth guarantees
Proceedings of the 6th International COnference
A contamination aware droplet routing algorithm for the synthesis of digital microfluidic biochips
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On the approximability of robust spanning tree problems
Theoretical Computer Science
JSSPP'10 Proceedings of the 15th international conference on Job scheduling strategies for parallel processing
Parameterized searching with mismatches for run-length encoded strings
SPIRE'10 Proceedings of the 17th international conference on String processing and information retrieval
Reverse logistics for electrical and electronic equipment: a modular simulation model
ICOSSSE '09 Proceedings of the 8th WSEAS international conference on System science and simulation in engineering
Efficient algorithms for Eulerian extension
WG'10 Proceedings of the 36th international conference on Graph-theoretic concepts in computer science
A study on the weak barrier coverage problem in wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
M2-CYCLE: An optical layer algorithm for fast link failure detection in all-optical mesh networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
SACTA: a self-adjusting clock tree architecture for adapting to thermal-induced delay variation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On incremental component implementation selection in system synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy aware fault tolerant routing in two-tiered sensor networks
ICDCN'11 Proceedings of the 12th international conference on Distributed computing and networking
Bandwidth constrained multi-interface networks
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
Optimal distribution of fluxes in complex topological network
MMACTEE'09 Proceedings of the 11th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
The $N-k$ Problem in Power Grids: New Models, Formulations, and Numerical Experiments
SIAM Journal on Optimization
Flow-pressure conditions in gas pipe networks
FM'11/HMT'11 Proceedings of the 8th WSEAS international conference on fluid mechanics, 8th WSEAS international conference on Heat and mass transfer
A direct barter model for course add/drop process
Discrete Applied Mathematics
QoS-based cooperative algorithm for integral multi-commodity flow problem
Computer Communications
Many-to-many graph matching via metric embedding
CVPR'03 Proceedings of the 2003 IEEE computer society conference on Computer vision and pattern recognition
A particle swarm optimization-based hybrid algorithm for minimum concave cost network flow problems
Journal of Global Optimization
On robust online scheduling algorithms
Journal of Scheduling
Asymmetrical resource networks. I. Stabilization processes for low resources
Automation and Remote Control
An algorithm for solving fuzzy maximal flow problems using generalized triangular fuzzy numbers
International Journal of Hybrid Intelligent Systems - Rough and Fuzzy Methods for Data Mining
Multi-unit differential auction-barter model for electronic marketplaces
Electronic Commerce Research and Applications
Supply chain for a high stakes testing agency
ISP'07 Proceedings of the 6th WSEAS international conference on Information security and privacy
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
Balancing algorithm for the minimum flow problem in parametric bipartite networks
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
Transfomation of non-feasible inverse maximum flow problem into a feasible one by flow modification
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
An efficient generic network flow constraint
Proceedings of the 2011 ACM Symposium on Applied Computing
Treewidth computations II. Lower bounds
Information and Computation
Efficient many-to-many feature matching under the l1 norm
Computer Vision and Image Understanding
On greedy and submodular matrices
TAPAS'11 Proceedings of the First international ICST conference on Theory and practice of algorithms in (computer) systems
TAPAS'11 Proceedings of the First international ICST conference on Theory and practice of algorithms in (computer) systems
A Hadoop based distributed loading approach to parallel data warehouses
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Efficient retiming of large circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Note: The Northwest corner rule revisited
Discrete Applied Mathematics
Architecture and algorithms for virtual routers as a service
Proceedings of the Nineteenth International Workshop on Quality of Service
Two-layer mesh network optimization based on inter-layer decomposition
Photonic Network Communications
Adaptive load-balancing in WDM mesh networks with performance guarantees
Photonic Network Communications
From bilingual dictionaries to interlingual document representations
HLT '11 Proceedings of the 49th Annual Meeting of the Association for Computational Linguistics: Human Language Technologies: short papers - Volume 2
Shift-and-merge technique for the DP solution of the time-constrained backpacker problem
Computers and Operations Research
LEMON - an Open Source C++ Graph Template Library
Electronic Notes in Theoretical Computer Science (ENTCS)
An iterative refinement algorithm for the minimum branch vertices problem
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
VMFlow: leveraging VM mobility to reduce network power costs in data centers
NETWORKING'11 Proceedings of the 10th international IFIP TC 6 conference on Networking - Volume Part I
Optimal allocation in combinatorial auctions with quadratic utility functions
TAMC'11 Proceedings of the 8th annual conference on Theory and applications of models of computation
A grid-based coverage approach for target tracking in hybrid sensor networks
Journal of Systems and Software
Displacement interpolation using Lagrangian mass transport
Proceedings of the 2011 SIGGRAPH Asia Conference
An integrated algorithm for 3D-IC TSV assignment
Proceedings of the 48th Design Automation Conference
Solving maximum flow problems on real-world bipartite graphs
Journal of Experimental Algorithmics (JEA)
Graph-based bilingual sentence alignment from large scale web pages
NLDB'11 Proceedings of the 16th international conference on Natural language processing and information systems
Efficient algorithms for the double traveling salesman problem with multiple stacks
Computers and Operations Research
Incremental algorithms for the minimum cost flow problem
Proceedings of the 15th WSEAS international conference on Computers
A study on the feasibility of the inverse supply and demand problem
Proceedings of the 15th WSEAS international conference on Computers
Jamming attack in WSN: a spatial perspective
Proceedings of the 13th international conference on Ubiquitous computing
On rectilinear partitions with minimum stabbing number
WADS'11 Proceedings of the 12th international conference on Algorithms and data structures
Heuristics for Deciding Collectively Rational Consumption Behavior
Computational Economics
Finding top-k shortest path distance changes in an evolutionary network
SSTD'11 Proceedings of the 12th international conference on Advances in spatial and temporal databases
Discrete time dynamic traffic assignment models and solution algorithm for managed lanes
Journal of Global Optimization
Three-Index linear programs with nested structure
Automation and Remote Control
Rate control-based framework and algorithm for optimal provisioning
Photonic Network Communications
Future Generation Computer Systems
Information on the consequence of a move and its use for route improvisation support
COSIT'11 Proceedings of the 10th international conference on Spatial information theory
Approximating the smallest 2-vertex connected spanning subgraph of a directed graph
ESA'11 Proceedings of the 19th European conference on Algorithms
ESA'11 Proceedings of the 19th European conference on Algorithms
A method for obtaining the maximum (δ, η)-balanced flow in a network
INOC'11 Proceedings of the 5th international conference on Network optimization
The maximum flow problem with conflict and forcing conditions
INOC'11 Proceedings of the 5th international conference on Network optimization
Algebraic methods for stochastic minimum cut and maximum flow problems
INOC'11 Proceedings of the 5th international conference on Network optimization
Modeling and optimization of production and distribution of drinking water at VMW
INOC'11 Proceedings of the 5th international conference on Network optimization
Traffic-oblivious routing in the hose model
IEEE/ACM Transactions on Networking (TON)
SRLG failure localization in optical networks
IEEE/ACM Transactions on Networking (TON)
Cross-layer survivability in WDM-based networks
IEEE/ACM Transactions on Networking (TON)
A bi-criteria approach for Steiner's tree problems in communication networks
Proceedings of the 2011 International Workshop on Modeling, Analysis, and Control of Complex Networks
Optimal flow control in acyclic networks with uncontrollable routings and precedence constraints
Discrete Event Dynamic Systems
Quantifying stranded implant displacement following prostate brachytherapy
MICCAI'11 Proceedings of the 14th international conference on Medical image computing and computer-assisted intervention - Volume Part I
Shape abstraction through multiple optimal solutions
ISVC'11 Proceedings of the 7th international conference on Advances in visual computing - Volume Part II
A network-flow-based method for embedding rectification
WISM'11 Proceedings of the 2011 international conference on Web information systems and mining - Volume Part I
An O(n4) Algorithm for the QAP Linearization Problem
Mathematics of Operations Research
Possibilistic bottleneck combinatorial optimization problems with ill-known weights
International Journal of Approximate Reasoning
A rearrangement of adjacency matrix based approach for solving the crossing minimization problem
Journal of Combinatorial Optimization
Minimum d-blockers and d-transversals in graphs
Journal of Combinatorial Optimization
Photonic Network Communications
Cross media hyperlinking for search topic browsing
MM '11 Proceedings of the 19th ACM international conference on Multimedia
Computers and Operations Research
Polynomial value iteration algorithms for deterministic MDPs
UAI'02 Proceedings of the Eighteenth conference on Uncertainty in artificial intelligence
Scheduling mobile collaborating workforce for multiple urgent events
Journal of Network and Computer Applications
A Unifying Polyhedral Approximation Framework for Convex Optimization
SIAM Journal on Optimization
Optimal capacity expansion arc algorithm on networks
CIS'04 Proceedings of the First international conference on Computational and Information Science
Wardrop equilibria and price of stability for bottleneck games with splittable traffic
WINE'06 Proceedings of the Second international conference on Internet and Network Economics
Optimizing the throughput of data-driven based streaming in heterogeneous overlay network
MMM'07 Proceedings of the 13th international conference on Multimedia Modeling - Volume Part I
Snapshot Centrality Indices in Dynamic FIFO Networks
Journal of Mathematical Modelling and Algorithms
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part I
Approximation algorithms for restricted cycle covers based on cycle decompositions
WG'06 Proceedings of the 32nd international conference on Graph-Theoretic Concepts in Computer Science
A distributed preflow-push for the maximum flow problem
IICS'05 Proceedings of the 5th international conference on Innovative Internet Community Systems
Scheduling unrelated parallel machines computational results
WEA'06 Proceedings of the 5th international conference on Experimental Algorithms
Extending Dijkstra's algorithm to maximize the shortest path by node-wise limited arc interdiction
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Efficient algorithms for maximum weight matchings in general graphs with small edge weights
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Approximability of minimum AND-Circuits
SWAT'06 Proceedings of the 10th Scandinavian conference on Algorithm Theory
Cross-Layer duty cycle scheduling with data aggregation routing in wireless sensor networks
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
Research of undirected network capacity expansion based on the spanning-tree
SEAL'06 Proceedings of the 6th international conference on Simulated Evolution And Learning
Estimated path selection for the delay constrained least cost path
PCI'05 Proceedings of the 10th Panhellenic conference on Advances in Informatics
Evaluation of strategic supply networks
OTM'05 Proceedings of the 2005 OTM Confederated international conference on On the Move to Meaningful Internet Systems
SenCar: an energy efficient data gathering mechanism for large scale multihop sensor networks
DCOSS'06 Proceedings of the Second IEEE international conference on Distributed Computing in Sensor Systems
Minimal spanning set for coverage testing of interactive systems
ICTAC'04 Proceedings of the First international conference on Theoretical Aspects of Computing
Overlay networks with linear capacity constraints
IWQoS'05 Proceedings of the 13th international conference on Quality of Service
A faster combinatorial approximation algorithm for scheduling unrelated parallel machines
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
On degree constrained shortest paths
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Treewidth lower bounds with brambles
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Matching point sets with respect to the earth mover’s distance
ESA'05 Proceedings of the 13th annual European conference on Algorithms
On multicast communications with minimum resources
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
Least cost multicast loop algorithm for local computer network
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
On estimation for reducing multicast delay variation
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
Approximation and complexity of k–splittable flows
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
On approximating restricted cycle covers
WAOA'05 Proceedings of the Third international conference on Approximation and Online Algorithms
Topology of cell-aggregated planar graphs
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part III
Tabu assisted guided local search approaches for freight service network design
Information Sciences: an International Journal
Capacitated arc routing problem with deadheading demands
Computers and Operations Research
Efficient algorithms for simplifying flow networks
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
Mining communities on the web using a max-flow and a site-oriented framework
WISE'05 Proceedings of the 6th international conference on Web Information Systems Engineering
On load-balanced semi-matchings for weighted bipartite graphs
TAMC'06 Proceedings of the Third international conference on Theory and Applications of Models of Computation
On improving the accuracy of OSPF traffic engineering
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Cross-Virtual concatenation for Ethernet-over-SONET/SDH networks
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Partitioning planar graphs: a fast combinatorial approach for max-cut
Computational Optimization and Applications
Multi-index transport problems with decomposition structure
Automation and Remote Control
In-advance path reservation for file transfers in e-science applications
The Journal of Supercomputing
Analysis of implicit relations on wikipedia: measuring strength through mining elucidatory objects
DASFAA'10 Proceedings of the 15th international conference on Database Systems for Advanced Applications - Volume Part I
On the minimal steiner tree subproblem and its application in branch-and-price
CPAIOR'05 Proceedings of the Second international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Generalized geometric approaches for leaf sequencing problems in radiation therapy,
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
IPCO'05 Proceedings of the 11th international conference on Integer Programming and Combinatorial Optimization
Computing the inertia from sign patterns
IPCO'05 Proceedings of the 11th international conference on Integer Programming and Combinatorial Optimization
Smoothed analysis of integer programming
IPCO'05 Proceedings of the 11th international conference on Integer Programming and Combinatorial Optimization
A study of domino-parity and k-parity constraints for the TSP
IPCO'05 Proceedings of the 11th international conference on Integer Programming and Combinatorial Optimization
Unicast and multicast qos routing with multiple constraints
QoS-IP'05 Proceedings of the Third international conference on Quality of Service in Multiservice IP Networks
A minimum cost multicast routing algorithm with the consideration of dynamic user membership
ICOIN'05 Proceedings of the 2005 international conference on Information Networking: convergence in broadband and mobile networking
A Stackelberg strategy for routing flow over time
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Recent research development in flip-chip routing
Proceedings of the International Conference on Computer-Aided Design
On the escape routing of differential pairs
Proceedings of the International Conference on Computer-Aided Design
Early P/G grid voltage integrity verification
Proceedings of the International Conference on Computer-Aided Design
Quadratic programming and combinatorial minimum weight product problems
CIAC'06 Proceedings of the 6th Italian conference on Algorithms and Complexity
Protein cellular localization with multiclass support vector machines and decision trees
BSB'05 Proceedings of the 2005 Brazilian conference on Advances in Bioinformatics and Computational Biology
Two mathematically equivalent models of the unique-path OSPF weight setting problem
ICN'05 Proceedings of the 4th international conference on Networking - Volume Part II
A Benchmarking Model for Management of Knowledge-Intensive Service Delivery Networks
Journal of Management Information Systems
Taming traffic dynamics: Analysis and improvements
Computer Communications
Improving bilingual projections via sparse covariance matrices
EMNLP '11 Proceedings of the Conference on Empirical Methods in Natural Language Processing
Generalized geometric approaches for leaf sequencing problems in radiation therapy
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
An efficient algorithm for finding maximum cycle packings in reducible flow graphs
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Oriented paths in mixed graphs
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Inner rectangular drawings of plane graphs
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Some applications and prospects of cellular automata in traffic problems
ACRI'06 Proceedings of the 7th international conference on Cellular Automata for Research and Industry
A bi-criteria algorithm for multipoint-to-multipoint virtual connections in transport networks
Proceedings of the 7th International Conference on Network and Services Management
Wavelength converter assignment problem in all optical WDM networks
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
A network flow algorithm for binary image reconstruction from few projections
DGCI'06 Proceedings of the 13th international conference on Discrete Geometry for Computer Imagery
Cuts and disjoint paths in the valley-free path model of internet BGP routing
CAAN'04 Proceedings of the First international conference on Combinatorial and Algorithmic Aspects of Networking
On approximating multicriteria TSP
ACM Transactions on Algorithms (TALG)
Journal of Computational Physics
Multipath routing algorithms for congestion minimization
NETWORKING'05 Proceedings of the 4th IFIP-TC6 international conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Modelling and constraint hardness characterisation of the unique-path OSPF weight setting problem
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Fast algorithms for weighted bipartite matching
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Capacity constrained routing algorithms for evacuation planning: a summary of results
SSTD'05 Proceedings of the 9th international conference on Advances in Spatial and Temporal Databases
Shortest path search from a physical perspective
COSIT'05 Proceedings of the 2005 international conference on Spatial Information Theory
TSV-constrained micro-channel infrastructure design for cooling stacked 3D-ICs
Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
Computers and Industrial Engineering
On fair and optimal multi-source IP-multicast
Computer Networks: The International Journal of Computer and Telecommunications Networking
Identification of Robust Terminal-Area Routes in Convective Weather
Transportation Science
Stressing is better than relaxing for negative cost cycle detection in networks
ADHOC-NOW'05 Proceedings of the 4th international conference on Ad-Hoc, Mobile, and Wireless Networks
Fractional matching via balls-and-bins
APPROX'06/RANDOM'06 Proceedings of the 9th international conference on Approximation Algorithms for Combinatorial Optimization Problems, and 10th international conference on Randomization and Computation
On clustering techniques for change diagnosis in data streams
WebKDD'05 Proceedings of the 7th international conference on Knowledge Discovery on the Web: advances in Web Mining and Web Usage Analysis
Survivable virtual network embedding
NETWORKING'10 Proceedings of the 9th IFIP TC 6 international conference on Networking
Robustness of the internet at the topology and routing level
Dependable Systems
Dinitz' algorithm: the original version and even's version
Theoretical Computer Science
Attribute value reordering for efficient hybrid OLAP
Information Sciences: an International Journal
The maximum integer multiterminal flow problem
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
On planar supports for hypergraphs
GD'09 Proceedings of the 17th international conference on Graph Drawing
Capacitated confluent flows: complexity and algorithms
CIAC'10 Proceedings of the 7th international conference on Algorithms and Complexity
2-Layer right angle crossing drawings
IWOCA'11 Proceedings of the 22nd international conference on Combinatorial Algorithms
On the design of the next generation access networks
CPAIOR'10 Proceedings of the 7th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Constraint-Based local search for constrained optimum paths problems
CPAIOR'10 Proceedings of the 7th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Transforming mathematical models using declarative reformulation rules
LION'05 Proceedings of the 5th international conference on Learning and Intelligent Optimization
GCC-like restrictions on the same constraint
CSCLP'04 Proceedings of the 2004 joint ERCIM/CoLOGNET international conference on Recent Advances in Constraints
On minimum k-modal partitions of permutations
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
An a* algorithm framework for the point-to-point time-dependent shortest path problem
CGGA'10 Proceedings of the 9th international conference on Computational Geometry, Graphs and Applications
A computational study of the capacity scaling algorithm for the maximum flow problem
Computers and Operations Research
A faster polynomial algorithm for the constrained maximum flow problem
Computers and Operations Research
Assigning multiple activities to work shifts
Journal of Scheduling
Optimal design of green multi-layer core networks
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
ComPLx: A Competitive Primal-dual Lagrange Optimization for Global Placement
Proceedings of the 49th Annual Design Automation Conference
Obstacle-avoiding free-assignment routing for flip-chip designs
Proceedings of the 49th Annual Design Automation Conference
Improved algorithms for orienteering and related problems
ACM Transactions on Algorithms (TALG)
A framework for resource dimensioning in GPON access networks
International Journal of Network Management
Medical privacy protection based on granular computing
Artificial Intelligence in Medicine
Mathematical and Computer Modelling: An International Journal
Network design formulations for scheduling U.S. Air Force channel route missions
Mathematical and Computer Modelling: An International Journal
Large-scale optimization planning methods for the distribution of United States army munitions
Mathematical and Computer Modelling: An International Journal
On the complexity of computing probabilistic bisimilarity
FOSSACS'12 Proceedings of the 15th international conference on Foundations of Software Science and Computational Structures
Mathematical and Computer Modelling: An International Journal
A simplex algorithm for a class of leontief flow problems
Mathematical and Computer Modelling: An International Journal
A note on permutations and rank aggregation
Mathematical and Computer Modelling: An International Journal
A strongly polynomial simplex method for the linear fractional assignment problem
Operations Research Letters
Shortest paths in piecewise continuous time-dependent networks
Operations Research Letters
The maximum integer multiterminal flow problem in directed graphs
Operations Research Letters
Local optimality conditions for multicommodity flow problems with separable piecewise convex costs
Operations Research Letters
Lot-sizing with non-stationary cumulative capacities
Operations Research Letters
Uniform multicommodity flow through the complete graph with random edge-capacities
Operations Research Letters
On a generalization of the Gallai-Roy-Vitaver theorem to the bandwidth coloring problem
Operations Research Letters
Lot sizing with inventory gains
Operations Research Letters
An improved algorithm for decomposing arc flows into multipath flows
Operations Research Letters
Operations Research Letters
Operations Research Letters
Dynamic routing at different layers in IP-over-WDM networks - Maximizing energy savings
Optical Switching and Networking
Design of virtual topologies for large optical networks through an efficient MILP formulation
Optical Switching and Networking
Review: Comparison of ILP formulations for the RWA problem
Optical Switching and Networking
Optical Switching and Networking
On routing in large WDM networks
Optical Switching and Networking
Interference-driven resource management for GPU-based heterogeneous clusters
Proceedings of the 21st international symposium on High-Performance Parallel and Distributed Computing
Selfish splittable flows and NP-completeness
Computer Science Review
Minmax regret bottleneck problems with solution-induced interval uncertainty structure
Discrete Optimization
Algorithms for time-dependent bicriteria shortest path problems
Discrete Optimization
New algorithms for convex cost tension problem with application to computer vision
Discrete Optimization
Discrete Optimization
A Branch and Price algorithm for the k-splittable maximum flow problem
Discrete Optimization
Discrete Optimization
The k-path tree matroid and its applications to survivable network design
Discrete Optimization
Using mixed-integer programming to solve power grid blackout problems
Discrete Optimization
Balanced home-away assignments
Discrete Optimization
Cycle-based facets of chromatic scheduling polytopes
Discrete Optimization
Integral cycle bases for cyclic timetabling
Discrete Optimization
Algorithmic expedients for the Prize Collecting Steiner Tree Problem
Discrete Optimization
A strongly polynomial algorithm for line search in submodular polyhedra
Discrete Optimization
Multiroute flows: Cut-trees and realizability
Discrete Optimization
Substitutes and complements in network flows viewed as discrete convexity
Discrete Optimization
Minimizing a linear multiplicative-type function under network flow constraints
Operations Research Letters
Equivalence of the primal and dual simplex algorithms for the maximum flow problem
Operations Research Letters
Exact solution of multicommodity network optimization problems with general step cost functions
Operations Research Letters
Lexicographic bottleneck combinatorial problems
Operations Research Letters
A new scaling algorithm for the minimum cost network flow problem
Operations Research Letters
Single machine scheduling with discretely controllable processing times
Operations Research Letters
A new matrix bandwidth reduction algorithm
Operations Research Letters
A fast bipartite network flow algorithm for selective assembly
Operations Research Letters
Notes on polyhedra associated with hop-constrained paths
Operations Research Letters
Submodular containment is hard, even for networks
Operations Research Letters
Operations Research Letters
Determining the Optimal Flows in Zero-Time Dynamic Networks
Journal of Mathematical Modelling and Algorithms
Strictly periodic scheduling in IMA-based architectures
Real-Time Systems
An O(m(m+nlogn)log(nC)) -time algorithm to solve the minimum cost tension problem
Theoretical Computer Science
A test problem with adjustable degrees of overlap and conflict among subproblems
Proceedings of the 14th annual conference on Genetic and evolutionary computation
A New Algorithm for the Open-Pit Mine Production Scheduling Problem
Operations Research
Implicit computation of maximum bipartite matchings by sublinear functional operations
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Solving the longest simple path problem with constraint-based techniques
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Shortest path problem in rectangular complexes of global nonpositive curvature
Computational Geometry: Theory and Applications
A Branch-and-Cut Approach for the Minimum-Energy Broadcasting Problem in Wireless Networks
INFORMS Journal on Computing
Coloring Graphs Using Two Colors While Avoiding Monochromatic Cycles
INFORMS Journal on Computing
A robust lot sizing problem with ill-known demands
Fuzzy Sets and Systems
Energy efficient k-barrier coverage in limited mobile wireless sensor networks
Computer Communications
Optimization of supply chain via reduction of complaints ratio
KES-AMSTA'12 Proceedings of the 6th KES international conference on Agent and Multi-Agent Systems: technologies and applications
Scheduling coupled-operation jobs with exact time-lags
Discrete Applied Mathematics
Exact Routing in Large Road Networks Using Contraction Hierarchies
Transportation Science
New results about multi-band uncertainty in robust optimization
SEA'12 Proceedings of the 11th international conference on Experimental Algorithms
Conflict-Free graph orientations with parity constraints
FUN'12 Proceedings of the 6th international conference on Fun with Algorithms
On solving the rooted delay- and delay-variation-constrained steiner tree problem
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Selective graph coloring in some special classes of graphs
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Differentiated quality-of-recovery in survivable optical mesh networks using p-structures
IEEE/ACM Transactions on Networking (TON)
Distributed resource allocation based on queue balancing in multihop cognitive radio networks
IEEE/ACM Transactions on Networking (TON)
Parameterized searching with mismatches for run-length encoded strings
Theoretical Computer Science
Optimal uniformly monotone partitioning of polygons with holes
Computer-Aided Design
Mixed Integer Non-Linear Programming models for Green Network Design
Computers and Operations Research
The k-resource problem in uniform metric spaces
Theoretical Computer Science
A polyhedral study of the acyclic coloring problem
Discrete Applied Mathematics
Batching and delivery in semi-online distribution systems
Discrete Applied Mathematics
Spanning cactus of a graph: Existence, extension, optimization, and approximation
Discrete Applied Mathematics
Software systems through complex networks science: review, analysis and applications
Proceedings of the First International Workshop on Software Mining
A P2P computing system for overlay networks
Future Generation Computer Systems
Adaptive scheduling strategies for cloud-based resource infrastructures
Security and Communication Networks
Parse, price and cut: delayed column and row generation for graph based parsers
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
ICT: system description for CoNLL-2012
CoNLL '12 Joint Conference on EMNLP and CoNLL - Shared Task
Handover cost optimization in traffic management for multi-homed mobile networks
UIC'07 Proceedings of the 4th international conference on Ubiquitous Intelligence and Computing
Approximating wardrop equilibria with finitely many agents
DISC'07 Proceedings of the 21st international conference on Distributed Computing
On the robustness of graham's algorithm for online scheduling
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
Nonlinear fixed charge transportation problem by minimum cost flow-based genetic algorithm
Computers and Industrial Engineering
Secure interoperation design in multi-domains environments based on colored Petri nets
Information Sciences: an International Journal
Topology optimisation of repairable flow networks for a maximum average availability
Computers & Mathematics with Applications
A fast parallel algorithm for minimum-cost small integral flows
Euro-Par'12 Proceedings of the 18th international conference on Parallel Processing
Exploiting pedestrian interaction via global optimization and social behaviors
Proceedings of the 15th international conference on Theoretical Foundations of Computer Vision: outdoor and large-scale real-world scene analysis
Exact Solution of Large-Scale Hub Location Problems with Multiple Capacity Levels
Transportation Science
An Optimization Approach to Airline Integrated Recovery
Transportation Science
Resource allocation with interference mitigation in femtocellular networks
Wireless Communications & Mobile Computing
A minimum-cost network flow approach to preemptive parallel-machine scheduling
Computers and Industrial Engineering
Theoretical Computer Science
IEEE/ACM Transactions on Networking (TON)
(Non-)reconfigurable virtual topology design under multihour traffic in optical networks
IEEE/ACM Transactions on Networking (TON)
Review article: Multilabel partition moves for MRF optimization
Image and Vision Computing
Sub-polyhedral scheduling using (unit-)two-variable-per-inequality polyhedra
POPL '13 Proceedings of the 40th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Efficient design space exploration for component-based system design
Proceedings of the International Conference on Computer-Aided Design
Multiindex transportation problems with 2-embedded structure
Automation and Remote Control
Cut-First Branch-and-Price-Second for the Capacitated Arc-Routing Problem
Operations Research
A Simple Approximation Algorithm for Computing Arrow-Debreu Prices
Operations Research
Computing Optimal Recovery Policies for Financial Markets
Operations Research
International Journal of Applied Metaheuristic Computing
A co-dependent value-based mechanism for the internet advertisement auction
PRIMA'11 Proceedings of the 14th international conference on Agent Based Simulation for a Sustainable Society and Multi-agent Smart Computing
Configuration repair via flow networks
ISMIS'12 Proceedings of the 20th international conference on Foundations of Intelligent Systems
Interpolating between random walks and shortest paths: a path functional approach
SocInfo'12 Proceedings of the 4th international conference on Social Informatics
Optimal design of virtual links in AFDX networks
Real-Time Systems
Heuristics for the single source capacitated multi-facility Weber problem
Computers and Industrial Engineering
Journal of Heuristics
A network flow based heuristic approach for optimising AGV movements
Journal of Intelligent Manufacturing
Significance-based energy-efficient path selection for multi-source underwater sensor networks
International Journal of Sensor Networks
On optimal worst-case matching
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
A stencil compiler for short-vector SIMD architectures
Proceedings of the 27th international ACM conference on International conference on supercomputing
Computers and Industrial Engineering
Loss calibrated methods for bipartite rationing: bipartite rationing
Proceedings of the fourteenth ACM conference on Electronic commerce
An overview of algorithms for network survivability
ISRN Communications and Networking
Shortlisting top-K assignments
Proceedings of the 25th International Conference on Scientific and Statistical Database Management
Coalitional manipulation for Schulze's rule
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
Retiming for Soft Error Minimization Under Error-Latching Window Constraints
Proceedings of the Conference on Design, Automation and Test in Europe
Model of complex networks based on citation dynamics
Proceedings of the 22nd international conference on World Wide Web companion
Max flows in O(nm) time, or better
Proceedings of the forty-fifth annual ACM symposium on Theory of computing
Complexity of computing convex subgraphs in custom instruction synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
"You know because I know": a multidimensional network approach to human resources problem
Proceedings of the 2013 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining
VLSI legalization with minimum perturbation by iterative augmentation
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
The maximum flow problem with disjunctive constraints
Journal of Combinatorial Optimization
Three-dimensional Route Planning for Unmanned Aerial Vehicles in a Risk Environment
Journal of Intelligent and Robotic Systems
Bi-Objective Bus Routing: An Application to School Buses in Rural Areas
Transportation Science
VLSI Design - Special issue on New Algorithmic Techniques for Complex EDA Problems
Diffusion pruning for rapidly and robustly selecting global correspondences using local isometry
ACM Transactions on Graphics (TOG)
Upper and lower degree bounded graph orientation with minimum penalty
CATS '12 Proceedings of the Eighteenth Computing: The Australasian Theory Symposium - Volume 128
Faster verification of partially ordered runs in petri nets using compact tokenflows
PETRI NETS'13 Proceedings of the 34th international conference on Application and Theory of Petri Nets and Concurrency
IEEE/ACM Transactions on Networking (TON)
A combinatorial polynomial algorithm for the linear arrow-debreu market
ICALP'13 Proceedings of the 40th international conference on Automata, Languages, and Programming - Volume Part I
The Energy-Water Nexus in Campuses
Proceedings of the 5th ACM Workshop on Embedded Systems For Energy-Efficient Buildings
Capacity-Constrained network-voronoi diagram: a summary of results
SSTD'13 Proceedings of the 13th international conference on Advances in Spatial and Temporal Databases
Managing the network with Merlin
Proceedings of the Twelfth ACM Workshop on Hot Topics in Networks
A distributed algorithm for large-scale generalized matching
Proceedings of the VLDB Endowment
Information Sciences: an International Journal
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Simple analysis of partial worst-case execution paths on general control flow graphs
Proceedings of the Eleventh ACM International Conference on Embedded Software
Goodness-of-Fit Measures for Revealed Preference Tests: Complexity Results and Algorithms
ACM Transactions on Economics and Computation
Computers and Industrial Engineering
Exploring the design space of programmable regular expression matching accelerators
Journal of Systems Architecture: the EUROMICRO Journal
On max-min fair flow optimization in wireless mesh networks
Ad Hoc Networks
The asymmetric bottleneck traveling salesman problem: Algorithms, complexity and empirical analysis
Computers and Operations Research
Models and strategies for efficiently determining an optimal vertical alignment of roads
Computers and Operations Research
On the computation of fully proportional representation
Journal of Artificial Intelligence Research
Robust power allocation for energy-efficient location-aware networks
IEEE/ACM Transactions on Networking (TON)
Approximation algorithms for throughput maximization in wireless networks with delay constraints
IEEE/ACM Transactions on Networking (TON)
Fractional routing using pairs of failure-disjoint paths
Discrete Applied Mathematics
Scheduling problem with multi-purpose parallel machines
Discrete Applied Mathematics
The subdivision-constrained routing requests problem
Journal of Combinatorial Optimization
Control of limit states in absorbing resource networks
Automation and Remote Control
Bribery in voting with CP-nets
Annals of Mathematics and Artificial Intelligence
Lower and upper bounds for the spanning tree with minimum branch vertices
Computational Optimization and Applications
Solving Multicolor Discrete Tomography Problems by Using Prior Knowledge
Fundamenta Informaticae - Strategies for Tomography
Identifying user sessions from web server logs with integer programming
Intelligent Data Analysis - Business Analytics and Intelligent Optimization
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Hi-index | 0.10 |