iCFP: Tolerating All-Level Cache Misses in In-Order Processors

  • Authors:
  • Andrew Hilton;Santosh Nagarakatte;Amir Roth

  • Affiliations:
  • University of Pennsylvania;University of Pennsylvania;University of Pennsylvania

  • Venue:
  • IEEE Micro
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

In-order continual flow pipeline (iCFP) is an in-order pipeline that allows execution to flow around data cache misses. When a cache miss occurs, iCFP executes and speculatively retires miss-independent instructions. It saves miss-dependent instructions in a slice buffer. When the miss returns, iCFP reexecutes the contents of the slice buffer and merges the results into working state. iCFP exploits existing support for multithreading and several novel components.