Variation-Aware TED-Based Approach for Nano-CMOS RTL Leakage Optimization

  • Authors:
  • S. Banerjee;J. Mathew;D. K. Pradhan;S. P. Mohanty;M. Ciesielski

  • Affiliations:
  • -;-;-;-;-

  • Venue:
  • VLSID '11 Proceedings of the 2011 24th International Conference on VLSI Design
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

As technology scales down to nanometer regime the process variations have profound effect on circuit characteristics. Meeting timing and power constraints under such process variations in nano-CMOS circuit design is increasingly difficult. This causes a shifting from worst-case based analysis and optimization to statistical or probability based analysis and optimization at every level of circuit abstraction. This paper presents a TED (Taylor Expansion Diagram) based multi ? Tox techniques during high-level synthesis (HLS). A variation-aware simultaneous scheduling and resource binding algorithm is proposed which maximizes the power yield under timing yield and performance constraint. For this purpose, a multi ? Tox library is characterized under process variation. The delay and power distribution of different functional units are exhaustively studied. The proposed variation-aware algorithm uses those components for generating low power RTL under a given timing yield and performance constraint. The experimental results show significant improvement as high as 95% on leakage power yield under given constraints.