Data-triggered threads: Eliminating redundant computation

  • Authors:
  • Hung-Wei Tseng;Dean M. Tullsen

  • Affiliations:
  • Department of Computer Science and Engineering, University of California, San Diego, La Jolla, CA, U.S.A.;Department of Computer Science and Engineering, University of California, San Diego, La Jolla, CA, U.S.A.

  • Venue:
  • HPCA '11 Proceedings of the 2011 IEEE 17th International Symposium on High Performance Computer Architecture
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper introduces the concept of data-triggered threads. Unlike threads in parallel programs in conventional programming models, these threads are initiated on a change to a memory location. This enables increased parallelism and the elimination of redundant, unnecessary computation. This paper focuses primarily on the latter. It is shown that 78% of all loads fetch redundant data, leading to a high incidence of redundant computation. By expressing computation through data-triggered threads, that computation is executed once when the data changes, and is skipped whenever the data does not change. The set of C SPEC benchmarks show performance speedup of up to 5.9X, and averaging 46%.