Performance modeling using Monte Carlo simulation

  • Authors:
  • R. Srinivasan;J. Cook;O. Lubeck

  • Affiliations:
  • New Mexico State Univ., Las Cruces, NM;-;-

  • Venue:
  • IEEE Computer Architecture Letters
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

Cycle accurate simulation has long been the primary tool for micro-architecture design and evaluation. Though accurate, the slow speed often imposes constraints on the extent of design exploration. In this work, we propose a fast, accurate Monte-Carlo based model for predicting processor performance. We apply this technique to predict the CPI of in-order architectures and validate it against the Itanium-2. The Monte Carlo model uses micro-architecture independent application characteristics, and cache, branch predictor statistics to predict CPI with an average error of less than 7%. Since prediction is achieved in a few seconds, the model can be used for fast design space exploration that can efficiently cull the space for cycle-accurate simulations. Besides accurately predicting CPI, the model also breaks down CPI into various components, where each component quantifies the effect of a particular stall condition (branch misprediction, cache miss, etc.) on overall CPI. Such a CPI decomposition can help processor designers quickly identify and resolve critical performance bottlenecks