Using Magnetic RAM to Build Low-Power and Soft Error-Resilient L1 Cache

  • Authors:
  • Hongbin Sun;Chuanyin Liu;Wei Xu;Jizhong Zhao;Nanning Zheng;Tong Zhang

  • Affiliations:
  • Xi'an Jiaotong University, Xi'an, P.R. China;Xi'an Jiaotong University, Xi'an, P.R. China;Marvell Technology, Santa Clara, CA, USA;Xi'an Jiaotong University, Xi'an, P.R. China;Xi'an Jiaotong University, Xi'an, P.R. China;Rensselaer Polytechnic Institute, Troy, NY, USA

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Due to its great scalability, fast read access, low leakage power, and nonvolatility, magnetic random access memory (MRAM) appears to be a promising memory technology for on-chip cache memory in microprocessors. However, the write-to-MRAM process is relatively slow and results in high dynamic power consumption. Such inherent disadvantages of MRAM make researchers easily conclude that MRAM can only be used for low-level caches (e.g., L2 or L3 cache), where cache memories are less frequently accessed and slow write to MRAM can be more easily compensated using simple architectural techniques. By developing a hybrid cache architecture, this paper attempts to show that, with appropriate architecture design, MRAM can also be used in L1 cache to improve both the energy efficiency and soft error immunity. The basic idea is to supplement the MRAM L1 cache with several small SRAM buffers, which can substantially mitigate the performance degradation and dynamic energy overhead induced by MRAM write operations. Moreover, the proposed hybrid cache architecture is also an efficient solution to protect cache memory from radiation-induced soft errors, as MRAM is inherently invulnerable to emissive particles. Simulation results show that, with only less than 2% performance degradation, the proposed design approach can reduce the power consumption by up to 76.1% on average compared with the traditional SRAM L1 cache. In addition, the architectural vulnerability factor of L1 data cache is reduced from 28.3% to as low as 0.5%.