FlexRAM: Toward an advanced Intelligent Memory system: A retrospective paper

  • Authors:
  • Josep Torrellas

  • Affiliations:
  • Department of Computer Science, University of Illinois

  • Venue:
  • ICCD '12 Proceedings of the 2012 IEEE 30th International Conference on Computer Design (ICCD 2012)
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

The work that lead to our ICCD-1999 FlexRAM paper [4] started in 1996. At that time, there was great interest in the potential of integrating compute capabilities in large DRAM memories — an architecture called Processing-In-Memory (PIM) or Intelligent Memory. Prof. Kogge at the University of Notre Dame had been an early and persistent proponent of the technology since his EXECUBE work [6]. Prof. Patterson at UC Berkeley had been leading the Berkeley IRAM project [11], and co-organized a workshop on these architectures in June 1997 [12]. In addition, Dr. Lucas from DARPA was outlining plans for an effort in this area. Finally, some chip manufacturers were investing in a DRAM technology that could be compatible with high-speed logic — e.g., IBM's CMOS 7LD and Mitsubishi's ERAM.