Optimizing bandwidth and power of graphics memory with hybrid memory technologies and adaptive data migration

  • Authors:
  • Jishen Zhao;Yuan Xie

  • Affiliations:
  • The Pennsylvania State University;The Pennsylvania State University and AMD Research China Lab

  • Venue:
  • Proceedings of the International Conference on Computer-Aided Design
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

While GPUs are designed to hide memory latency with massive multi-threading, the tremendous demands for memory bandwidth and power consumption constrain the system performance scaling. In this paper, we propose a hybrid graphics memory architecture with different memory technologies (DRAM, STT-RAM, and RRAM), to improve the memory bandwidth and reduce the power consumption. In addition, we present an adaptive data migration mechanism that exploits various memory access patterns of GPGPU applications for further memory power reduction. We evaluate our design with a set of multi-threaded GPU workloads. Compared to traditional GDDR5 memory, our design leads to 16% of GPU system power reduction, and improves the system throughput and energy efficiency by 12% and 33%.