Shrinking l1 instruction caches to improve energy: delay in SMT embedded processors

  • Authors:
  • Alexandra Ferrerón-Labari;Marta Ortín-Obón;Darío Suárez-Gracia;Jesús Alastruey-Benedé;Víctor Viñals-Yúfera

  • Affiliations:
  • gaZ--DIIS--I3A, Universidad de Zaragoza, Spain;gaZ--DIIS--I3A, Universidad de Zaragoza, Spain;gaZ--DIIS--I3A, Universidad de Zaragoza, Spain;gaZ--DIIS--I3A, Universidad de Zaragoza, Spain;gaZ--DIIS--I3A, Universidad de Zaragoza, Spain

  • Venue:
  • ARCS'13 Proceedings of the 26th international conference on Architecture of Computing Systems
  • Year:
  • 2013

Quantified Score

Hi-index 0.00

Visualization

Abstract

Instruction caches are responsible for a high percentage of the chip energy consumption, becoming a critical issue for battery-powered embedded devices. We can potentially reduce the energy consumption of the first level instruction cache (L1-I) by decreasing its size and associativity. However, demanding applications may suffer a dramatic performance degradation, specially in superscalar multi-threaded processors, where, in each cycle, multiple threads access the L1-I to fetch instructions. We introduce iLP-NUCA (Instruction Light Power NUCA), a new instruction cache that substitutes the conventional L2, improving the Energy-Delay of the system. iLP-NUCA adds a new tree-based transport network topology that reduces latency and energy consumption, regarding former LP-NUCA implementations. With iLP-NUCA we reduce the size of the L1-I outperforming conventional cache hierarchies, and reducing the overall consumption, independently of the number of threads.