Impact on performance and energy of the retention time and processor frequency in L1 macrocell-based data caches

  • Authors:
  • Alejandro Valero;Julio Sahuquillo;Vicente Lorente;Salvador Petit;Pedro López;José Duato

  • Affiliations:
  • Department of Computer Engineering, Universitat Politècnica de València, Valencia, Spain;Department of Computer Engineering, Universitat Politècnica de València, Valencia, Spain;Department of Computer Engineering, Universitat Politècnica de València, Valencia, Spain;Department of Computer Engineering, Universitat Politècnica de València, Valencia, Spain;Department of Computer Engineering, Universitat Politècnica de València, Valencia, Spain;Department of Computer Engineering, Universitat Politècnica de València, Valencia, Spain

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

Cache memories dissipate an important amount of the energy budget in current microprocessors. This is mainly due to cache cells are typically implemented with six transistors. To tackle this design concern, recent research has focused on the proposal of new cache cells. An n-bit cache cell, namely macrocell, has been proposed in a previous work. This cell combines SRAM and eDRAM technologies with the aim of reducing energy consumption while maintaining the performance. The capacitance of eDRAM cells impacts on energy consumption and performance since these cells lose their state once the retention time expires. On such a case, data must be fetched from a lower level of the memory hierarchy, so negatively impacting on performance and energy consumption. As opposite, if the capacitance is too high, energy would be wasted without bringing performance benefits. This paper identifies the optimal capacitance for a given processor frequency. To this end, the tradeoff between performance and energy consumption of a macrocell-based cache has been evaluated varying the capacitance and frequency. Experimental results show that, compared to a conventional cache, performance losses are lower than 2% and energy savings are up to 55% for a cache with 10 fF capacitors and frequencies higher than 1 GHz. In addition, using trench capacitors, a 4-bit macrocell reduces by 29% the area of four conventional SRAM cells.