Optimism and consistency in partitioned distributed database systems
ACM Transactions on Database Systems (TODS)
Predicting fill for sparse orthogonal factorization
Journal of the ACM (JACM)
Improved methods for storing and updating information in the out-of-kilter algorithm
Journal of the ACM (JACM)
The vulnerability of vote assignments
ACM Transactions on Computer Systems (TOCS)
Efficient algorithms for finding maximum matching in graphs
ACM Computing Surveys (CSUR)
A new approach to the maximum flow problem
STOC '86 Proceedings of the eighteenth annual ACM symposium on Theory of computing
Fast algorithms for convex quadratic programming and multicommodity flows
STOC '86 Proceedings of the eighteenth annual ACM symposium on Theory of computing
A parallel algorithm for the maximal path problem
STOC '85 Proceedings of the seventeenth annual ACM symposium on Theory of computing
Solving minimum-cost flow problems by successive approximation
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
An algorithm for linear programming which requires O(((m+n)n2+(m+n)1.5n)L) arithmetic operations
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
Testing for cycles in infinite graphs with periodic structure
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
A VLSI Implementation of the Simplex Algorithm
IEEE Transactions on Computers
A new interactive supply/demand router with rip-up capability for printed circuit boards
DAC '87 Proceedings of the 24th ACM/IEEE Design Automation Conference
Efficient tests for top-down termination of logical rules
Journal of the ACM (JACM)
Processor Utilization in a Linearly Connected Parallel Processing System
IEEE Transactions on Computers
The time complexity of maximum matching by simulated annealing
Journal of the ACM (JACM)
A new class of heuristic algorithms for weighted perfect matching
Journal of the ACM (JACM)
Optimization of large join queries
SIGMOD '88 Proceedings of the 1988 ACM SIGMOD international conference on Management of data
IEEE Transactions on Computers
Optical interconnection using ShuffleNet multihop networks in multi-connected ring topologies
SIGCOMM '88 Symposium proceedings on Communications architectures and protocols
An Eigendecomposition Approach to Weighted Graph Matching Problems
IEEE Transactions on Pattern Analysis and Machine Intelligence
From mathematical specifications to parallel programs on a message-based system
ICS '88 Proceedings of the 2nd international conference on Supercomputing
A new approach to the maximum-flow problem
Journal of the ACM (JACM)
A randomized parallel branch-and-bound procedure
STOC '88 Proceedings of the twentieth annual ACM symposium on Theory of computing
Finding minimum-cost circulations by canceling negative cycles
STOC '88 Proceedings of the twentieth annual ACM symposium on Theory of computing
STOC '88 Proceedings of the twentieth annual ACM symposium on Theory of computing
An O(NlogN) hypercube N-body integrator
C3P Proceedings of the third conference on Hypercube concurrent computers and applications - Volume 2
Best-first branch-and bound on a hypercube
C3P Proceedings of the third conference on Hypercube concurrent computers and applications - Volume 2
Resource Sharing Interconnection Networks in Multiprocessors
IEEE Transactions on Computers
Space shuttle main engine component assembly, assignment, and scheduling expert system
IEA/AIE '89 Proceedings of the 2nd international conference on Industrial and engineering applications of artificial intelligence and expert systems - Volume 1
A graph partitioning algorithm by node separators
ACM Transactions on Mathematical Software (TOMS)
Solving implication problems in database applications
SIGMOD '89 Proceedings of the 1989 ACM SIGMOD international conference on Management of data
A Unified Approach to the Change of Resolution: Space and Gray-Level
IEEE Transactions on Pattern Analysis and Machine Intelligence
A Gracefully Degradable VLSI System for Linear Programming
IEEE Transactions on Computers
On the parallel complexity of integer programming
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
SCG '89 Proceedings of the fifth annual symposium on Computational geometry
Minimum partitioning simple rectilinear polygons in O(n log log n) - time
SCG '89 Proceedings of the fifth annual symposium on Computational geometry
Allocating Modules to Processors in a Distributed System
IEEE Transactions on Software Engineering
Finding minimum-cost circulations by canceling negative cycles
Journal of the ACM (JACM)
Processing Implication on Queries
IEEE Transactions on Software Engineering
The maximum concurrent flow problem
Journal of the ACM (JACM)
Some computational aspects of circumscription
Journal of the ACM (JACM)
Efficient Algorithms for Reconfiguration in VLSI/WSI Arrays
IEEE Transactions on Computers
Strategies for the Prevention of Communication Deadlocks in Distributed Parallel Programs
IEEE Transactions on Software Engineering
Convex separable optimization is not much harder than linear optimization
Journal of the ACM (JACM)
Study of parallelism in regular iterative algorithms
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
A unified approach to off-line permutation routing on parallel networks
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Computing the block triangular form of a sparse matrix
ACM Transactions on Mathematical Software (TOMS)
On the optimal bisection of a polygon (extended abstract)
SCG '90 Proceedings of the sixth annual symposium on Computational geometry
SCG '90 Proceedings of the sixth annual symposium on Computational geometry
A parallel logic programming approach to combinatorial optimization in design
IEA/AIE '90 Proceedings of the 3rd international conference on Industrial and engineering applications of artificial intelligence and expert systems - Volume 2
On the complexity of local search
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
Linear approximation of shortest superstrings
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
Modularity of cycles and paths in graphs
Journal of the ACM (JACM)
3-D Object Recognition Using Bipartite Matching Embedded in Discrete Relaxation
IEEE Transactions on Pattern Analysis and Machine Intelligence
Termination detection in logic programs using argument sizes (extended abstract)
PODS '91 Proceedings of the tenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Faster scaling algorithms for general graph matching problems
Journal of the ACM (JACM)
Fast search algorithms for connected phone recognition using the stochastic segment model
HLT '90 Proceedings of the workshop on Speech and Natural Language
An Efficient Channel Routing Algorithm to Yield an Optimal Solution
IEEE Transactions on Computers
A hierarchy preserving hierarchical compactor
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Data path allocation based on bipartite weighted matching
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Constraint improvements for MILP-based hardware synthesis
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Minimizing the number of delay buffers in the synchronization of pipelined systems
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
SODA '91 Proceedings of the second annual ACM-SIAM symposium on Discrete algorithms
The complexity of multiway cuts (extended abstract)
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Polynomial algorithms for linear programming over the algebraic numbers
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Near-optimal triangulation of a point set by simulated annealing
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
A genetic algorithm for packing in three dimensions
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
Solving the n-queens problem using genetic algorithms
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
On the performance of object clustering techniques
SIGMOD '92 Proceedings of the 1992 ACM SIGMOD international conference on Management of data
Robust trainability of single neurons
COLT '92 Proceedings of the fifth annual workshop on Computational learning theory
Optimization of Computation Time for Systolic Arrays
IEEE Transactions on Computers
A parallel algorithm for the minimum spanning tree on an SIMD machine
CSC '92 Proceedings of the 1992 ACM annual conference on Communications
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
On the parallel implementation of Goldberg's maximum flow algorithm
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Entropy and information measures in combinatorial optimization
SAC '92 Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's
A labeling algorithm for just-in-time scheduling in TDMA networks
SIGCOMM '92 Conference proceedings on Communications architectures & protocols
Reasoning about systems with many processes
Journal of the ACM (JACM)
Unstructured tree search on SIMD parallel computers: a summary of results
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
LATTIS: an iterative speedup heuristic for mapped logic
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
On the assignment complexity of uniform trees
ISSAC '93 Proceedings of the 1993 international symposium on Symbolic and algebraic computation
Sequential circuit delay optimization using global path delays
DAC '93 Proceedings of the 30th international Design Automation Conference
Linear programming without the matrix
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
Online load balancing and network flow
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
On-line algorithms for cache sharing
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
A primal-dual approximation algorithm for generalized Steiner network problems
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
The network inhibition problem
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
On the generation of minimal-length conformance tests for communication protocols
IEEE/ACM Transactions on Networking (TON)
Randomized parallel algorithms for backtrack search and branch-and-bound computation
Journal of the ACM (JACM)
Journal of the ACM (JACM)
Linear approximation of shortest superstrings
Journal of the ACM (JACM)
COLT '94 Proceedings of the seventh annual conference on Computational learning theory
Scheduling parallelizable tasks to minimize average response time
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
PODS '94 Proceedings of the thirteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Intractability: a geometric representation
SIGCSE '94 Proceedings of the twenty-fifth SIGCSE symposium on Computer science education
An exact algorithm for selecting partial scan flip-flops
DAC '94 Proceedings of the 31st annual Design Automation Conference
Algorithms for a switch module routing problem
EURO-DAC '94 Proceedings of the conference on European design automation
Algebras for querying text regions (extended abstract)
PODS '95 Proceedings of the fourteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
An optimization method for the channel assignment in mixed environments
MobiCom '95 Proceedings of the 1st annual international conference on Mobile computing and networking
Register allocation and binding for low power
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Schemes for fault identification in communication networks
IEEE/ACM Transactions on Networking (TON)
Taxonomic and uncertain integrity constraints in object-oriented databases—the TOP approach
CIKM '95 Proceedings of the fourth international conference on Information and knowledge management
Re-encoding for low power state assignment of FSMs
ISLPED '95 Proceedings of the 1995 international symposium on Low power design
Partial scan selection for user-specified fault coverage
EURO-DAC '95/EURO-VHDL '95 Proceedings of the conference on European design automation
An iterative improvement algorithm for low power data path synthesis
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
IEEE Transactions on Pattern Analysis and Machine Intelligence
ABA: an assignment based algorithm for resource allocation
ACM SIGART Bulletin
IEEE Transactions on Computers
Scheduling Soft Real-Time Jobs Over Dual Non-Real-Time Servers
IEEE Transactions on Parallel and Distributed Systems
Combinational logic synthesis for LUT based field programmable gate arrays
ACM Transactions on Design Automation of Electronic Systems (TODAES)
ACM Computing Surveys (CSUR)
A fast algorithm for minimizing FPGA combinational and sequential modules
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Exponential space computation of Gröbner bases
ISSAC '96 Proceedings of the 1996 international symposium on Symbolic and algebraic computation
An efficient steepest-edge simplex algorithm for SIMD computers
ICS '96 Proceedings of the 10th international conference on Supercomputing
Introspection: a low overhead binding technique during self-diagnosing microarchitecture synthesis
DAC '96 Proceedings of the 33rd annual Design Automation Conference
An O(n) algorithm for transistor stacking with performance constraints
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Layout driven selecting and chaining of partial scan flip-flops
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Sizing of clock distribution networks for high performance CPU chips
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Static Assignment of Stochastic Tasks Using Majorization
IEEE Transactions on Computers
Cost-minimizing construction of a unidirectional SHR with diverse protection
IEEE/ACM Transactions on Networking (TON)
Interchangeable pin routing with application to package layout
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
A Graduated Assignment Algorithm for Graph Matching
IEEE Transactions on Pattern Analysis and Machine Intelligence
Polynomial-Time Geometric Matching for Object Recognition
International Journal of Computer Vision
Meaningful change detection in structured data
SIGMOD '97 Proceedings of the 1997 ACM SIGMOD international conference on Management of data
Automatic checking of instruction specifications
ICSE '97 Proceedings of the 19th international conference on Software engineering
Scalable parallel data mining for association rules
SIGMOD '97 Proceedings of the 1997 ACM SIGMOD international conference on Management of data
A survey of approximately optimal solutions to some covering and packing problems
ACM Computing Surveys (CSUR)
Code generation for a DSP processor
ISSS '94 Proceedings of the 7th international symposium on High-level synthesis
Optimizing two-phase, level-clocked circuitry
Journal of the ACM (JACM)
Highly Scalable Parallel Algorithms for Sparse Matrix Factorization
IEEE Transactions on Parallel and Distributed Systems
Journal of VLSI Signal Processing Systems
Mesh refinement via bidirected flows: modeling, complexity, and computational results
Journal of the ACM (JACM)
When Hamming meets Euclid: the approximability of geometric TSP and MST (extended abstract)
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
A New Lagrangian Relaxation Based Algorithm for a Class ofMultidimensional Assignment Problems
Computational Optimization and Applications
A grid-based approach for connectivity binding with geometric costs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Combining technology mapping and placement for delay-optimization in FPGA designs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Quadratic zero-one programming based synthesis of application specific data paths
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Location update optimization in personal communication systems
Wireless Networks
Potential-driven statistical ordering of transformations
DAC '97 Proceedings of the 34th annual Design Automation Conference
Exploiting local data in parallel array I/O on a practical network of workstations
Proceedings of the fifth workshop on I/O in parallel and distributed systems
Global harmony: coupled noise analysis for full-chip RC interconnect networks
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
On the complexity and approximation of syntenic distance
RECOMB '97 Proceedings of the first annual international conference on Computational molecular biology
An efficient ILP-based scheduling algorithm for control-dominated VHDL descriptions
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Incremental Reconfiguration and Load Adjustment in Adaptive Real-Time Systems
IEEE Transactions on Computers
Computational Optimization and Applications
A New Technique for Optimization Problems in Graph Theory
IEEE Transactions on Computers
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Synthesis of power-optimized and area-optimized circuits from hierarchical behavioral descriptions
DAC '98 Proceedings of the 35th annual Design Automation Conference
Spare allocation and reconfiguration in large area VLSI
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
Graph matching-based algorithms for FPGA segmentation design
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Performance Estimation for Real-Time Distributed Embedded Systems
IEEE Transactions on Parallel and Distributed Systems
Journal of VLSI Signal Processing Systems
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Deriving constraints among argument sizes in logic programs (extended abstract)
PODS '90 Proceedings of the ninth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
IEEE Transactions on Parallel and Distributed Systems
Transistor level micro-placement and routing for two-dimensional digital VLSI cell synthesis
ISPD '99 Proceedings of the 1999 international symposium on Physical design
Applications of restrictive cutsets and topological CROSS's for minimum total load
ACM-SE 37 Proceedings of the 37th annual Southeast regional conference (CD-ROM)
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
An approximation algorithm for minimum-cost vertex-connectivity problems
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Using network flows for surface modeling
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Lower and upper bounds on the switching activity in scheduled data flow graphs
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
Fixed-dimensional parallel linear programming via relative &egr;-approximations
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
VIP—an input pattern generator for indentifying critical voltage drop for deep sub-micron designs
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
Data collection for the Sloan Digital Sky Survey—a network-flow heuristic
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Mapping clones with a given ordering or interleaving
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Efficient algorithms for finding disjoint paths in grids
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
New results on the old k-opt algorithm for the TSP
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient spare allocation in reconfigurable arrays
DAC '86 Proceedings of the 23rd ACM/IEEE Design Automation Conference
Data structures for weighted matching and nearest common ancestors with linking
SODA '90 Proceedings of the first annual ACM-SIAM symposium on Discrete algorithms
Flowshop scheduling with limited temporary storage
Journal of the ACM (JACM)
On the complexity of integer programming
Journal of the ACM (JACM)
The complexity of restricted spanning tree problems
Journal of the ACM (JACM)
On the complexity of unique solutions
Journal of the ACM (JACM)
Extended Gaussian images, mixed volumes, shape reconstruction
SCG '85 Proceedings of the first annual symposium on Computational geometry
A methodology and algorithms for the design of hard real-time multitasking ASICs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Two flow routing algorithms for the maximum concurrent flow problem
ACM '86 Proceedings of 1986 ACM Fall joint computer conference
A least cost partition algorithm
ACM '86 Proceedings of 1986 ACM Fall joint computer conference
The zero/one multiple knapsack problem and genetic algorithms
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
Sensitivity analysis with regard to capacity expansion in network flow simulation
WSC' 90 Proceedings of the 22nd conference on Winter simulation
A code-motion pruning technique for global scheduling
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient Algorithms for Block-Cyclic Array Redistribution Between Processor Sets
IEEE Transactions on Parallel and Distributed Systems
Heuristic algorithms for the terminal assignment problem
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
IEEE Transactions on Computers
B*-Trees: a new representation for non-slicing floorplans
Proceedings of the 37th Annual Design Automation Conference
Floorplan sizing by linear programming approximation
Proceedings of the 37th Annual Design Automation Conference
Timing-driven placement based on partitioning with dynamic cut-net control
Proceedings of the 37th Annual Design Automation Conference
Construction of visual secret sharing schemes with almost optimal contrast
SODA '00 Proceedings of the eleventh annual ACM-SIAM symposium on Discrete algorithms
Reconfiguration of carrier assignment in cellular networks
Wireless Networks
Reduction Techniques for Instance-BasedLearning Algorithms
Machine Learning
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Low power synthesis of sum-of-products computation (poster session)
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Static scheduling algorithms for allocating directed task graphs to multiprocessors
ACM Computing Surveys (CSUR)
A Parallel Pruning Technique for Highly Asymmetric Assignment Problems
IEEE Transactions on Parallel and Distributed Systems
Timing-driven routing for symmetrical array-based FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient routability check algorithms for segmented channel routing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Finding Quadratic Schedules for Affine Recurrence Equations Via Nonsmooth Optimization
Journal of VLSI Signal Processing Systems
On Optimal Replacement of Nonuniform Cache Objects
IEEE Transactions on Computers
Cost-effective traffic grooming in WDM rings
IEEE/ACM Transactions on Networking (TON)
An overview of computational complexity
Communications of the ACM
A software engineering perspective on algorithmics
ACM Computing Surveys (CSUR)
On the complexity of join predicates
PODS '01 Proceedings of the twentieth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
PREFER: a system for the efficient execution of multi-parametric ranked queries
SIGMOD '01 Proceedings of the 2001 ACM SIGMOD international conference on Management of data
Probabilistic logic programming with conditional constraints
ACM Transactions on Computational Logic (TOCL)
Supporting dynamic data structures with Olden
Compiler optimizations for scalable parallel systems
Graph Collapsing in Shortest Path Auction Algorithms
Computational Optimization and Applications
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
The complexity of acyclic conjunctive queries
Journal of the ACM (JACM)
ACM SIGACT News
Proceedings of the 2001 conference on Applications, technologies, architectures, and protocols for computer communications
Low-energy for deep-submicron address buses
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Processor modeling and code selection for retargetable compilation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
ILP-based Instruction Scheduling for IA-64
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Statistical mechanics methods and phase transitions in optimizationproblems
Theoretical Computer Science - Phase transitions in combinatorial problems
Graph colourings and partitions
Theoretical Computer Science
Convexity and global optimization: a theoretical link
Theoretical Computer Science
MobiHoc '01 Proceedings of the 2nd ACM international symposium on Mobile ad hoc networking & computing
Lower bound estimation for low power high-level synthesis
ISSS '00 Proceedings of the 13th international symposium on System synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient Matching and Indexing of Graph Models in Content-Based Retrieval
IEEE Transactions on Pattern Analysis and Machine Intelligence - Graph Algorithms and Computer Vision
A Graph-Based Method for Face Identification from a Single 2D Line Drawing
IEEE Transactions on Pattern Analysis and Machine Intelligence - Graph Algorithms and Computer Vision
Evolutionary Algorithms for Allocating Data in Distributed Database Systems
Distributed and Parallel Databases
Efficient global register allocation for minimizing energy consumption
ACM SIGPLAN Notices
Disjoint pattern database heuristics
Artificial Intelligence - Chips challenging champions: games, computers and Artificial Intelligence
Proceedings of the 39th annual Design Automation Conference
Computational Optimization and Applications
Pricing multicasting in more practical network models
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Proceedings of the 33nd conference on Winter simulation
Performance estimation for real-time distributed embedded systems
Readings in hardware/software co-design
On balancing between transcoding overhead and spatial consumption in content adaptation
Proceedings of the 8th annual international conference on Mobile computing and networking
Dynamic and self-stabilizing distributed matching
Proceedings of the twenty-first annual symposium on Principles of distributed computing
An efficient network flow code for finding all minimum cost s-t cutsets
Computers and Operations Research
Geometric matching of circular features by least squares fitting
Pattern Recognition Letters
The cable trench problem: combining the shortest path and minimum spanning tree problems
Computers and Operations Research
Embedding Relaxations in Global Constraints for Solving TSP and TSPTW
Annals of Mathematics and Artificial Intelligence
Determination of the Class of Validity of Logical Formulas by Directed Exhaustive Search
Cybernetics and Systems Analysis
A Microeconomic View of Data Mining
Data Mining and Knowledge Discovery
A Queueing Model for the Design and Analysis of a MiningStockyard
Discrete Event Dynamic Systems
Nonsystematic Search and No-Good Learning
Journal of Automated Reasoning
Designing Least-Cost Survivable Wireless Backhaul Networks
Journal of Heuristics
A Taxonomy of Hybrid Metaheuristics
Journal of Heuristics
Protein Conformation of a Lattice Model Using Tabu Search
Journal of Global Optimization
On Optimization Properties of Functions, with a Concave Minorant
Journal of Global Optimization
The Complexity Analysis of the Inverse Center Location Problem
Journal of Global Optimization
Finite Exact Branch-and-Bound Algorithms for Concave Minimization over Polytopes
Journal of Global Optimization
Finding independent sets in a graph using continuous multivariable polynomial formulations
Journal of Global Optimization
Solving Standard Quadratic Optimization Problems via Linear, Semidefinite and Copositive Programming
Journal of Global Optimization
A parallel formulation of interior point algorithms
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
A Survey of Automated Timetabling
Artificial Intelligence Review
A simulated annealing approach to police district design
Computers and Operations Research - Location analysis
Transistor placement for noncomplementary digital VLSI cell synthesis
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A Symbolic Algorithms for Maximum Flow in 0-1 Networks
Formal Methods in System Design
Algorithms for multicast connection under multi-path routing model
Information Processing Letters
Design of the Access Network Segment of Future Mobile Communications Systems
Wireless Personal Communications: An International Journal
Efficient Location and Paging Area Planning in Future Cellular Systems
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Searching a minimal semantically-equivalent subset of a set of partial values
The VLDB Journal — The International Journal on Very Large Data Bases
Computational Complexity for Physicists
Computing in Science and Engineering
Optimizing Value and Cost in Requirements Analysis
IEEE Software
Reconfiguring Processor Arrays Using Multiple-Track Models: The 3Track-Spare-Approach
IEEE Transactions on Computers
Design and Evaluation of Hardware Strategies for Reconfiguring Hypercubes and Meshes Under Faults
IEEE Transactions on Computers
Convergence Properties of Optimization Algorithms for the SAT Problem
IEEE Transactions on Computers
Algorithms for Searching Massive Graphs
IEEE Transactions on Knowledge and Data Engineering
Global Optimization for Satisfiability (SAT) Problem
IEEE Transactions on Knowledge and Data Engineering
Databases with Deadline and Contingency Constraints
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Scalable Parallel Data Mining for Association Rules
IEEE Transactions on Knowledge and Data Engineering
A Distance-Based Approach to Entity Reconciliation in Heterogeneous Databases
IEEE Transactions on Knowledge and Data Engineering
A Linear Programming Approach for the Weighted Graph Matching Problem
IEEE Transactions on Pattern Analysis and Machine Intelligence
Document Image Decoding Using Markov Source Models
IEEE Transactions on Pattern Analysis and Machine Intelligence
Learning Separations by Boolean Combinations of Half-Spaces
IEEE Transactions on Pattern Analysis and Machine Intelligence
Shape Matching and Object Recognition Using Shape Contexts
IEEE Transactions on Pattern Analysis and Machine Intelligence
A RKHS Interpolator-Based Graph Matching Algorithm
IEEE Transactions on Pattern Analysis and Machine Intelligence
Unstructured Tree Search on SIMD Parallel Computers
IEEE Transactions on Parallel and Distributed Systems
Extended Dominating-Set-Based Routing in Ad Hoc Wireless Networks with Unidirectional Links
IEEE Transactions on Parallel and Distributed Systems
Journal of Algorithms
Theoretical Computer Science - Natural computing
Annealed replication: a new heuristic for the maximum clique problem
Discrete Applied Mathematics
A framework for the greedy algorithm
Discrete Applied Mathematics
An optimization model and multiple matching heuristics for quality planning in manufacturing systems
Computers and Industrial Engineering
A theory of complexity for continuous time systems
Journal of Complexity
Modeling the dynamics of ant colony optimization
Evolutionary Computation
Fast approximations for sums of distances, clustering and the Fermat--Weber problem
Computational Geometry: Theory and Applications
On the Euclidean 3-matching problem
Nordic Journal of Computing
Disjoint paths in circular arc graphs
Nordic Journal of Computing
A new approximation algorithm for the asymmetric TSP with triangle inequality
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Collision-based computing
Estimating Human Body Configurations Using Shape Context Matching
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part III
Characterizing Markov Decision Processes
ECML '02 Proceedings of the 13th European Conference on Machine Learning
Reliability Evaluation Using Monte Carlo Simulation and Support Vector Machine
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
Optimizing Large Join Queries in Mediation Systems
ICDT '99 Proceedings of the 7th International Conference on Database Theory
Local Search for DAG Scheduling and Task Assignment
ICPP '97 Proceedings of the international Conference on Parallel Processing
On Availability QoS for Replicated Multimedia Service and Content
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
Robust Routing in Wide-Area WDM Networks
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Applying Logic Programming to Derive Novel Functional Information of Genomes
PADL '99 Proceedings of the First International Workshop on Practical Aspects of Declarative Languages
Optimal Lower Bound for Generalized Median Problems in Metric Space
Proceedings of the Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Resource Scheduling for Composite Multimedia Objects
VLDB '98 Proceedings of the 24rd International Conference on Very Large Data Bases
On The Satisfiability of Dependency Constraints in Entity-Relationship Schemata
VLDB '87 Proceedings of the 13th International Conference on Very Large Data Bases
Extending the Search Strategy in a Query Optimizer
VLDB '91 Proceedings of the 17th International Conference on Very Large Data Bases
Some Issues in Design of Distributed Deductive Databases
VLDB '94 Proceedings of the 20th International Conference on Very Large Data Bases
Finding Founder Sequences from a Set of Recombinants
WABI '02 Proceedings of the Second International Workshop on Algorithms in Bioinformatics
A New Algorithm for Page Access Sequencing in Join Processing
ADBIS-DASFAA '00 Proceedings of the East-European Conference on Advances in Databases and Information Systems Held Jointly with International Conference on Database Systems for Advanced Applications: Current Issues in Databases and Information Systems
Applying Vertical Fragmentation Techniques in Logical Design of Multidimensional Databases
DaWaK 2000 Proceedings of the Second International Conference on Data Warehousing and Knowledge Discovery
Minimal Sample Databases for Global Cardinality Constraints
FoIKS '02 Proceedings of the Second International Symposium on Foundations of Information and Knowledge Systems
Stability-Based Model Order Selection in Clustering with Applications to Gene Expression Data
ICANN '02 Proceedings of the International Conference on Artificial Neural Networks
Reverse Center Location Problem
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Javelin 2.0: Java-Based Parallel Computing on the Internet
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Algorithm for the Cost Edge-Coloring of Trees
COCOON '01 Proceedings of the 7th Annual International Conference on Computing and Combinatorics
A 27/26-Approximation Algorithm for the Chromatic Sum Coloring of Bipartite Graphs
APPROX '02 Proceedings of the 5th International Workshop on Approximation Algorithms for Combinatorial Optimization
UMC '02 Proceedings of the Third International Conference on Unconventional Models of Computation
Constraint-Based Vehicle Assembly Line Sequencing
AI '01 Proceedings of the 14th Biennial Conference of the Canadian Society on Computational Studies of Intelligence: Advances in Artificial Intelligence
A Multilevel Algorithm for Force-Directed Graph Drawing
GD '00 Proceedings of the 8th International Symposium on Graph Drawing
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Algorithms and Models for the On-Line Vertex-Covering
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Budgeted Maximum Graph Coverage
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
Computing Cycle Covers without Short Cycles
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
The Complexity of Maximum Matroid-Greedoid Intersection
FCT '01 Proceedings of the 13th International Symposium on Fundamentals of Computation Theory
Efficient Parallel Algorithms for Mining Associations
Revised Papers from Large-Scale Parallel Data Mining, Workshop on Large-Scale Parallel KDD Systems, SIGKDD
Service Logic Deployment and Distribution Configuration
IS&N '99 Proceedings of the 6th International Conference on Intelligence and Services in Networks: Paving the Way for an Open Service Market
A Scable Video-on-Demand Server for a Dynamic Heterogeneous Environment
MIS '98 Proceedings of the 4th International Workshop on Advances in Multimedia Information Systems
A Dual Interpretation of "Standard Constraints" in Parametric Scheduling
FTRTFT '00 Proceedings of the 6th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems
Rectilinear block placement using B*-trees
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Experimental results on quadrangulations of sets of fixed points
Computer Aided Geometric Design
Optimal Location Area Design to Minimize Registration Signaling Traffic in Wireless Systems
IEEE Transactions on Mobile Computing
MEQA3 - a multi-end QoS application adaptation architecture
Information processing and technology
Length-bounded disjoint paths in planar graphs
Discrete Applied Mathematics - Sixth Twente Workshop on Graphs and Combinatorial Optimization
A survey of very large-scale neighborhood search techniques
Discrete Applied Mathematics
Real-Time Digital Signal Processing of Phased Array Radars
IEEE Transactions on Parallel and Distributed Systems
On the supervision and assessment of part-time postgraduate software engineering projects
Proceedings of the 25th International Conference on Software Engineering
A method for metric temporal reasoning
Eighteenth national conference on Artificial intelligence
A matching-based algorithm for page access sequencing in join processing
Journal of Systems and Software
The distribution of values in the quadratic assignment problem
Mathematics of Operations Research
Transforming an under-constrained geometric constraint problem into a well-constrained one
SM '03 Proceedings of the eighth ACM symposium on Solid modeling and applications
Characterizations of consistent marked graphs
Discrete Applied Mathematics - Special issue: The 1998 conference on ordinal and symbolic data analysis (OSDA '98)
Placement of I/O servers to improve parallel I/O performance on switch-based clusters
ICS '03 Proceedings of the 17th annual international conference on Supercomputing
Design Implementation of Intrinsic Area Array ICs
ARVLSI '97 Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
Cellular Automata for Generating Deterministic Test Sequences
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Towards an analytic framework for analysing the computation time of evolutionary algorithms
Artificial Intelligence
Behavioral synthesis of fault secure controller/datapaths using aliasing probability analysis
FTCS '96 Proceedings of the The Twenty-Sixth Annual International Symposium on Fault-Tolerant Computing (FTCS '96)
The complexity of facets (and some facets of complexity)
STOC '82 Proceedings of the fourteenth annual ACM symposium on Theory of computing
The complexity of dynamic languages and dynamic optimization problems
STOC '81 Proceedings of the thirteenth annual ACM symposium on Theory of computing
Heuristics for weighted perfect matching
STOC '80 Proceedings of the twelfth annual ACM symposium on Theory of computing
Timing analysis for synthesis of hardware interface controllers using timed signal transition graphs
PNPM '95 Proceedings of the Sixth International Workshop on Petri Nets and Performance Models
Optimum retiming of large sequential circuits
VLSID '95 Proceedings of the 8th International Conference on VLSI Design
Retiming with logic duplication transformation: theory and an application to partial scan
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Sequential Circuits with combinational Test Generation Complexity
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Value-Driven Resource Assignment in Object-Oriented Real-Time Dependable Systems
WORDS '97 Proceedings of the 3rd Workshop on Object-Oriented Real-Time Dependable Systems - (WORDS '97)
Efficient Spectrum Utilization in Third Generation Cellular Communication Systems
ISCC '97 Proceedings of the 2nd IEEE Symposium on Computers and Communications (ISCC '97)
Formulation of the Traffic Engineering Problems in MPLS Based IP Networks
ISCC '00 Proceedings of the Fifth IEEE Symposium on Computers and Communications (ISCC 2000)
Rectilinear Block Placement Using B*-Trees
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
Optimizing Processing of Query Subscription in an WDM Network Environment
ITCC '00 Proceedings of the The International Conference on Information Technology: Coding and Computing (ITCC'00)
A Constructive Method for Exploiting Code Motion
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Rational canonical forms and efficient representations of hypergeometric terms
ISSAC '03 Proceedings of the 2003 international symposium on Symbolic and algebraic computation
New algorithms for optimal binary vector quantizer design
DCC '95 Proceedings of the Conference on Data Compression
A new approach to parallel mesh generation and partitioning problems
Computational science, mathematics and software
Advances in evolutionary computing
Metaheuristics in combinatorial optimization: Overview and conceptual comparison
ACM Computing Surveys (CSUR)
A new point matching algorithm for non-rigid registration
Computer Vision and Image Understanding - Special issue on nonrigid image registration
Variable-size data item placement for load and storage balancing
Journal of Systems and Software
Shortest Path Auction Algorithm Without Contractions Using Virtual Source Concept
Computational Optimization and Applications
Random Redundant Storage in Disk Arrays: Complexity of Retrieval Problems
IEEE Transactions on Computers
Power efficient data path synthesis of sum-of-products computations
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on the 2001 international conference on computer design (ICCD)
Tutorial on computational complexity
Interfaces
Cost-Efficient Design of Future Broadband Fixed Wireless Access Systems
Wireless Personal Communications: An International Journal
On bottleneck assignment problems under categorization
Computers and Operations Research
Management of wireless home networking technologies in the context of composite radio environments
ACM SIGMOBILE Mobile Computing and Communications Review
Area and performance optimizations in path-based scheduling
EURO-DAC '91 Proceedings of the conference on European design automation
Neural network-based heuristic algorithms for hypergraph coloring problems with applications
Journal of Parallel and Distributed Computing - Special section best papers from the 2002 international parallel and distributed processing symposium
Finding an optimal path without growing the tree
Journal of Algorithms
Online Recognition of Chinese Characters: The State-of-the-Art
IEEE Transactions on Pattern Analysis and Machine Intelligence
Algorithms and applications for answering ranked queries using ranked views
The VLDB Journal — The International Journal on Very Large Data Bases
On regular drawn symbolic picture languages
Information and Computation
Sensitivity Analysis for Scheduling Problems
Journal of Scheduling
Efficient text fingerprinting via Parikh mapping
Journal of Discrete Algorithms
Service provider oriented management systems over open cellular network infrastructures
Journal of Systems and Software
Proceedings of the 2004 ACM symposium on Applied computing
A cost-oriented approach for infrastructural design
Proceedings of the 2004 ACM symposium on Applied computing
Determining the Optimal Contrast for Secret Sharing Schemes in Visual Cryptography
Combinatorics, Probability and Computing
Random Structures & Algorithms
Probabilistic weak simulation is decidable in polynomial time
Information Processing Letters
Parsing free word order languages in the Paninian framework
ACL '93 Proceedings of the 31st annual meeting on Association for Computational Linguistics
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Element matching across data-oriented XML sources using a multi-strategy clustering model
Data & Knowledge Engineering
Joint object placement and node dimensioning for internet content distribution
Information Processing Letters
Routing algorithm for multicast under multi-tree model in optical networks
Theoretical Computer Science
Theory and Practice of Logic Programming
Graph properties checkable in linear time in the number of vertices
Journal of Computer and System Sciences
Experiments on the minimum linear arrangement problem
Journal of Experimental Algorithmics (JEA)
Balancing register allocation across threads for a multithreaded network processor
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Design and application of hybrid intelligent systems
Spectral matching of bipartite graphs
Design and application of hybrid intelligent systems
Shortest route computation in distributed systems
Computers and Operations Research
Computers and Operations Research
Gradual Relaxation Techniques with Applications to Behavioral Synthesis
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Towards Fast Vickrey Pricing using Constraint Programming
Artificial Intelligence Review
Extracting predicates from mining models for efficient query evaluation
ACM Transactions on Database Systems (TODS)
Algorithms for solving the symmetry number problem on trees
Information Processing Letters
Algorithms for Solving Lexicographic Multicriterion Problems on Matroids
Cybernetics and Systems Analysis
Proceedings of the 10th annual international conference on Mobile computing and networking
A Combined Evolutionary Search and Multilevel Optimisation Approach to Graph-Partitioning
Journal of Global Optimization
Journal of Computer Science and Technology
Journal of Systems and Software
Polynomial approximation schemes and exact algorithms for optimum curve segmentation problems
Discrete Applied Mathematics - Discrete mathematics & data mining (DM & DM)
Learning Local Transductions Is Hard
Journal of Logic, Language and Information
Problems of synthesis of connected networks with respect to isomorphic subgraphs
Cybernetics and Systems Analysis
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Schema Matching Using Duplicates
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
Bootstrapping Semantic Annotation for Content-Rich HTML Documents
ICDE '05 Proceedings of the 21st International Conference on Data Engineering
Technology migration technique for designs with strong RET-driven layout restrictions
Proceedings of the 2005 international symposium on Physical design
Chips on wafers, or packing rectangles into grids
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
Block linear majorants in quadratic 0-1 optimization
Discrete Applied Mathematics - The fourth international colloquium on graphs and optimisation (GO-IV)
On the mean radius of permutation polytopes
Discrete Applied Mathematics
Information Raining and Optimal Link-Layer Design for Mobile Hotspots
IEEE Transactions on Mobile Computing
Iterated Local Optimization for Minimum Energy Broadcast
WIOPT '05 Proceedings of the Third International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks
Computing correlated equilibria in multi-player games
Proceedings of the thirty-seventh annual ACM symposium on Theory of computing
Queueing Networks of Random Link Topology: Stationary Dynamics of Maximal Throughput Schedules
Queueing Systems: Theory and Applications
A new quantitative assessment tool for computer science programs
ITiCSE '05 Proceedings of the 10th annual SIGCSE conference on Innovation and technology in computer science education
Computing the epistasis variance of large-scale traveling salesman problems
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
New topologies for genetic search space
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Polynomial-Time Metrics for Attributed Trees
IEEE Transactions on Pattern Analysis and Machine Intelligence
International Journal of Robotics Research
Pricing multicasting in more flexible network models
ACM Transactions on Algorithms (TALG)
Energy efficient routing with adaptive data fusion in sensor networks
DIALM-POMC '05 Proceedings of the 2005 joint workshop on Foundations of mobile computing
Client assignment in content dissemination networks for dynamic data
VLDB '05 Proceedings of the 31st international conference on Very large data bases
Robustness of Shape Descriptors to Incomplete Contour Representations
IEEE Transactions on Pattern Analysis and Machine Intelligence
Multidimensional max-flow method and its application for plastic analysis
Advances in Engineering Software
Dynamics of Local Search Trajectory in Traveling Salesman Problem
Journal of Heuristics
A resource request model for microcode compaction
ACM SIGMICRO Newsletter
Clustering Ensembles: Models of Consensus and Weak Partitions
IEEE Transactions on Pattern Analysis and Machine Intelligence
Detecting changes on unordered XML documents using relational databases: a schema-conscious approach
Proceedings of the 14th ACM international conference on Information and knowledge management
Optimizing instruction cache performance of embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Orthogonal drawings of graphs with vertex and edge labels
Computational Geometry: Theory and Applications
Annals of Mathematics and Artificial Intelligence
A Semidefinite Programming Based Polyhedral Cut and Price Approach for the Maxcut Problem
Computational Optimization and Applications
Graph matching-based algorithms for array-based FPGA segmentation design and routing
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Partitioned bus coding for energy reduction
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A Constraint Programming Approach to Extract the Maximum Number of Non-Overlapping Test Forms
Computational Optimization and Applications
Payoff-Monotonic Game Dynamics and the Maximum Clique Problem
Neural Computation
Ant colony optimization theory: a survey
Theoretical Computer Science
Movement-Assisted Sensor Deployment
IEEE Transactions on Mobile Computing
Theoretical Computer Science - Automata, languages and programming: Algorithms and complexity (ICALP-A 2004)
CWS: a comparative web search system
Proceedings of the 15th international conference on World Wide Web
Fault tolerance of switch blocks and switch block arrays in FPGA
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A new branch-&-bound-enhanced genetic algorithm for the manufacturing cell formation problem
Computers and Operations Research
Stereo and motion correspondences using nonlinear optimization method
Computer Vision and Image Understanding
The complexity of maximum matroid-greedoid intersection and weighted greedoid maximization
Discrete Applied Mathematics - Special issue: Efficient algorithms
On the probabilistic minimum coloring and minimum k-coloring
Discrete Applied Mathematics
Quality-aware dstributed data delivery for continuous query services
Proceedings of the 2006 ACM SIGMOD international conference on Management of data
Improved algorithm for the symmetry number problem on trees
Information Processing Letters
Exploiting semidefinite relaxations in constraint programming
Computers and Operations Research
The uniqueness of a good optimum for K-means
ICML '06 Proceedings of the 23rd international conference on Machine learning
ICML '06 Proceedings of the 23rd international conference on Machine learning
Search--based approaches to the component selection and prioritization problem
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Computational complexity of queries based on itemsets
Information Processing Letters
Yield enhancement of reconfigurable microfluidics-based biochips using interstitial redundancy
ACM Journal on Emerging Technologies in Computing Systems (JETC)
On the computational complexity of coalitional resource games
Artificial Intelligence
An effective hybrid algorithm for university course timetabling
Journal of Scheduling
Adaptive Data Fusion for Energy Efficient Routing in Wireless Sensor Networks
IEEE Transactions on Computers
Efficient incremental maintenance of data cubes
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Parallelizing load/stores on dual-bank memory embedded processors
ACM Transactions on Embedded Computing Systems (TECS)
Network coding theory: single sources
Communications and Information Theory
A PTAS for the minimization of polynomials of fixed degree over the simplex
Theoretical Computer Science - Approximation and online algorithms
Routing Correlated Data with Fusion Cost in Wireless Sensor Networks
IEEE Transactions on Mobile Computing
Journal of Experimental Algorithmics (JEA)
MESTER: minimum energy spanning tree for efficient routing in wireless sensor networks
QShine '06 Proceedings of the 3rd international conference on Quality of service in heterogeneous wired/wireless networks
Distributed Selfish Replication
IEEE Transactions on Parallel and Distributed Systems
Some Compartmentalized Secure Task Assignment Models for Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Hierarchical partitioning of VLSI floorplans by staircases
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Eigenvectors of interval matrices over max-plus algebra
Discrete Applied Mathematics - Special issue: Max-algebra
Theoretical Computer Science
Discovering Shape Classes using Tree Edit-Distance and Pairwise Clustering
International Journal of Computer Vision
The impact of imperfect scheduling on cross-layer congestion control in wireless networks
IEEE/ACM Transactions on Networking (TON)
An algorithm for projective point matching in the presence of spurious points
Pattern Recognition
Anatomical sketch understanding: Recognizing explicit and implicit structure
Artificial Intelligence in Medicine
Designing cellular networks using a parallel hybrid metaheuristic on the computational grid
Computer Communications
Digraph matrix partitions and trigraph homomorphisms
Discrete Applied Mathematics
Session based access control in geographically replicated internet services
Computer Networks: The International Journal of Computer and Telecommunications Networking
Direct static enforcement of high-level security policies
ASIACCS '07 Proceedings of the 2nd ACM symposium on Information, computer and communications security
Platform-based resource binding using a distributed register-file microarchitecture
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Combinatorial approaches to QoS scheduling in multichannel infrastructure wireless networks
WICON '06 Proceedings of the 2nd annual international workshop on Wireless internet
A Decision Procedure for Linear "Big O" Equations
Journal of Automated Reasoning
Computing the bipartite edge frustration of fullerene graphs
Discrete Applied Mathematics
Computers and Operations Research
Data-independent neighborhood functions and strict local optima
Discrete Applied Mathematics
Asymptotically optimal transmission policies for large-scale low-power wireless sensor networks
IEEE/ACM Transactions on Networking (TON)
Preference-based selection of highly configurable web services
Proceedings of the 16th international conference on World Wide Web
Lex-Optimal Online Multiclass Scheduling with Hard Deadlines
Mathematics of Operations Research
A New Complexity Result on Solving the Markov Decision Problem
Mathematics of Operations Research
Models and Tabu Search Heuristics for the Berth-Allocation Problem
Transportation Science
XANADUE: a system for detecting changes to XML data in tree-unaware relational databases
Proceedings of the 2007 ACM SIGMOD international conference on Management of data
The Klee–Minty random edge chain moves with linear speed
Random Structures & Algorithms
An Efficient Earth Mover's Distance Algorithm for Robust Histogram Comparison
IEEE Transactions on Pattern Analysis and Machine Intelligence
Graphic approach to combinatorial optimization
Automation and Remote Control
Learning parallel portfolios of algorithms
Annals of Mathematics and Artificial Intelligence
Energy-aware multicasting in wireless ad hoc networks: A survey and discussion
Computer Communications
Approximate Labeling via Graph Cuts Based on Linear Programming
IEEE Transactions on Pattern Analysis and Machine Intelligence
Failure-aware checkpointing in fine-grained cycle sharing systems
Proceedings of the 16th international symposium on High performance distributed computing
Nuisance free recognition of hand postures over a tabletop display
VisHCI '06 Proceedings of the HCSNet workshop on Use of vision in human-computer interaction - Volume 56
Efficient inference with cardinality-based clique potentials
Proceedings of the 24th international conference on Machine learning
The multi-objective next release problem
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Retrieval for decision support resources by structured models
Decision Support Systems
An overview of computational complexity
ACM Turing award lectures
Locating a low-level waste disposal site
Computers and Operations Research
Information Sciences: an International Journal
Hamiltonicity of regular graphs and blocks of consecutive ones in symmetric matrices
Discrete Applied Mathematics
Logarithmic delay for N × N packet switches under the crossbar constraint
IEEE/ACM Transactions on Networking (TON)
Design of a peer-to-peer system for optimized content replication
Computer Communications
Music playlist generation by adapted simulated annealing
Information Sciences: an International Journal
Rate-distortion optimized streaming of fine-grained scalable video sequences
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Geometric crossovers for multiway graph partitioning
Evolutionary Computation
Evolutionary divide and conquer (i): A novel genetic approach to the tsp
Evolutionary Computation
When price is not enough: combining logical and numerical issues in bilateral negotiation
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Shape matching and modeling using skeletal context
Pattern Recognition
Shape matching and modeling using skeletal context
Pattern Recognition
Optimal cluster-head deployment in wireless sensor networks with redundant link requirements
Proceedings of the 2nd international conference on Performance evaluation methodologies and tools
A game-theoretic intrusion detection model for mobile ad hoc networks
Computer Communications
Convergence of a modified algorithm of fast probabilistic modeling
Cybernetics and Systems Analysis
Graph algorithms for biological systems analysis
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
Discrete buffer and wire sizing for link-based non-tree clock networks
Proceedings of the 2008 international symposium on Physical design
Logic-based automated multi-issue bilateral negotiation in peer-to-peer e-marketplaces
Autonomous Agents and Multi-Agent Systems
Scheduling with integer time budgeting for low-power optimization
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
SHORT: shortest hop routing tree for wireless sensor networks
International Journal of Sensor Networks
A new approach to the learning effect: Beyond the learning curve restrictions
Computers and Operations Research
On complexity of optimal recombination for binary representations of solutions
Evolutionary Computation
A graph-based profile similarity calculation method for collaborative information retrieval
Proceedings of the 2008 ACM symposium on Applied computing
Combinatorial complexity: are we on the right way?
AIKED'06 Proceedings of the 5th WSEAS International Conference on Artificial Intelligence, Knowledge Engineering and Data Bases
Streaming cache placement problems: complexity and algorithms
International Journal of Computational Science and Engineering
International Journal of Hybrid Intelligent Systems - VIII Brazilian Symposium On Neural Networks
Clone detection in automotive model-based development
Proceedings of the 30th international conference on Software engineering
Optimal due date assignment in multi-machine scheduling environments
Journal of Scheduling
On partitioning graphs via single commodity flows
STOC '08 Proceedings of the fortieth annual ACM symposium on Theory of computing
On exploiting diversity and spatial reuse in relay-enabled wireless networks
Proceedings of the 9th ACM international symposium on Mobile ad hoc networking and computing
A local greedy scheduling scheme with provable performance guarantee
Proceedings of the 9th ACM international symposium on Mobile ad hoc networking and computing
The minimum spanning tree problem with fuzzy costs
Fuzzy Optimization and Decision Making
Beyond separation of duty: An algebra for specifying high-level security policies
Journal of the ACM (JACM)
Computing correlated equilibria in multi-player games
Journal of the ACM (JACM)
Game theoretic models for detecting network intrusions
Computer Communications
Exponential lower bounds for finding Brouwer fixed points
SFCS '87 Proceedings of the 28th Annual Symposium on Foundations of Computer Science
A new approximation algorithm for the asymmetric TSP with triangle inequality
ACM Transactions on Algorithms (TALG)
The general σ all-ones problem for trees
Discrete Applied Mathematics
Large gaps in one-dimensional cutting stock problems
Discrete Applied Mathematics
Opportunistic scheduling for OFDM systems with fairness constraints
EURASIP Journal on Wireless Communications and Networking - Cognitive Radio and Dynamic Spectrum Sharing Systems
Feasibility-preserving crossover for maximum k-coverage problem
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Set covering approach for reconstruction of sibling relationships
Optimization Methods & Software - Systems Analysis, Optimization and Data Mining in Biomedicine
Automation and Remote Control
Modelling user preferences and configuring services in B3G devices
Wireless Networks
A proposal for a hybrid meta-strategy for combinatorial optimization problems
Journal of Heuristics
Interpretable nonnegative matrix decompositions
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Stereo correspondence using symbiotic genetic algorithms
MCBE'08 Proceedings of the 9th WSEAS International Conference on Mathematics & Computers In Business and Economics
Assessment of urban-scale wireless networks with a small number of measurements
Proceedings of the 14th ACM international conference on Mobile computing and networking
An Iterative local-search framework for solving constraint satisfaction problem
Applied Soft Computing
On the polyhedral complexity of the integer points in a hyperball
Theoretical Computer Science
Additivity obstructions for integral matrices and pyramids
Theoretical Computer Science
Computer Vision and Image Understanding
Search-based many-to-one component substitution
Journal of Software Maintenance and Evolution: Research and Practice - Search Based Software Engineering [SBSE]
A Parallel DNA Algorithm Using a Microfluidic Device to Build Scheduling Grids
IWINAC '07 Proceedings of the 2nd international work-conference on The Interplay Between Natural and Artificial Computation, Part I: Bio-inspired Modeling of Cognitive Tasks
Using Gradual Numbers for Solving Fuzzy-Valued Combinatorial Optimization Problems
IFSA '07 Proceedings of the 12th international Fuzzy Systems Association world congress on Foundations of Fuzzy Logic and Soft Computing
Coordination in Disaster Management and Response: A Unified Approach
Massively Multi-Agent Technology
Optimal Field Splitting, with Applications in Intensity-Modulated Radiation Therapy
FAW '08 Proceedings of the 2nd annual international workshop on Frontiers in Algorithmics
Approximating Alternative Solutions
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
The Journal of Machine Learning Research
A method for approximating pairwise comparison matrices by consistent matrices
Journal of Global Optimization
Automated Semantic Analysis of Schematic Data
World Wide Web
International Journal of Internet Protocol Technology
Component based shape retrieval using differential profiles
MIR '08 Proceedings of the 1st ACM international conference on Multimedia information retrieval
Sparse Structures in L-Infinity Norm Minimization for Structure and Motion Reconstruction
ECCV '08 Proceedings of the 10th European Conference on Computer Vision: Part I
Choosing robust solutions in discrete optimization problems with fuzzy costs
Fuzzy Sets and Systems
The subdivision-constrained minimum spanning tree problem
Theoretical Computer Science
Parallel bioinspired algorithms for NP complete graph problems
Journal of Parallel and Distributed Computing
Optimizing server placement for parallel I/O in switch-based clusters
Journal of Parallel and Distributed Computing
Analyses of simple hybrid algorithms for the vertex cover problem*
Evolutionary Computation
Parallel ILP for distributed-memory architectures
Machine Learning
Computation and data transfer co-scheduling for interconnection bus minimization
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Structural Properties of Gene Regulatory Networks: Definitions and Connections
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Dealing with label switching in mixture models under genuine multimodality
Journal of Multivariate Analysis
Some methods for evaluating the optimality of elements in matroids with ill-known weights
Fuzzy Sets and Systems
Robust scheduler for grid networks
Proceedings of the 2009 ACM symposium on Applied Computing
A New Datapath Merging Method for Reconfigurable System
ARC '09 Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications
Reoptimization of Traveling Salesperson Problems: Changing Single Edge-Weights
LATA '09 Proceedings of the 3rd International Conference on Language and Automata Theory and Applications
Approximative graph pyramid solution of the E-TSP
Image and Vision Computing
Scheduling of Container Storage and Retrieval
Operations Research
A new framework supporting the bottleneck analysis of multiclass queueing networks
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
Mean Square Residue Biclustering with Missing Data and Row Inversions
ISBRA '09 Proceedings of the 5th International Symposium on Bioinformatics Research and Applications
Interval-parameter optimization problems on graphs
Cybernetics and Systems Analysis
Subclasses of solvable problems from classes of combinatorial optimization problems
Cybernetics and Systems Analysis
A mini-max spanning forest approach to the political districting problem
International Journal of Systems Science
Uplink allocation beyond choke/unchoke: or how to divide and conquer best
CoNEXT '08 Proceedings of the 2008 ACM CoNEXT Conference
Low-overhead scheduling algorithms for OFDMA relay networks
Proceedings of the 4th Annual International Conference on Wireless Internet
A logic-based framework to compute Pareto agreements in one-shot bilateral negotiation
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
A graphical realization of the dynamic programming method for solving NP-hard combinatorial problems
Computers & Mathematics with Applications
Projective cone scheduling (PCS) algorithms for packet switches of maximal throughput
IEEE/ACM Transactions on Networking (TON)
Real-Time Algorithm Scheme for n-Vehicle Exploration Problem
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
On Disjoint Shortest Paths Routing on the Hypercube
COCOA '09 Proceedings of the 3rd International Conference on Combinatorial Optimization and Applications
Proceedings of the 2007 EvoWorkshops 2007 on EvoCoMnet, EvoFIN, EvoIASP,EvoINTERACTION, EvoMUSART, EvoSTOC and EvoTransLog: Applications of Evolutionary Computing
Selection of customers for operational and usage profiling
Proceedings of the Second International Workshop on Testing Database Systems
Transport logistics planning with service-level constraints
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Distributed resource management and matching in sensor networks
IPSN '09 Proceedings of the 2009 International Conference on Information Processing in Sensor Networks
Integer Programming: Optimization and Evaluation Are Equivalent
WADS '09 Proceedings of the 11th International Symposium on Algorithms and Data Structures
EACL '09 Proceedings of the 12th Conference of the European Chapter of the Association for Computational Linguistics
A design framework for metaheuristics
Artificial Intelligence Review
Weighted Description Logics Preference Formulas for Multiattribute Negotiation
SUM '09 Proceedings of the 3rd International Conference on Scalable Uncertainty Management
IEEE/ACM Transactions on Networking (TON)
Some theoretical challenges in digital geometry: A perspective
Discrete Applied Mathematics
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
Reasoning within fuzzy description logics
Journal of Artificial Intelligence Research
Additive pattern database heuristics
Journal of Artificial Intelligence Research
Qualitative system identification from imperfect data
Journal of Artificial Intelligence Research
An anytime algorithm for optimal coalition structure generation
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
Probabilistic deduction with conditional constraints over basic events
Journal of Artificial Intelligence Research
Principled constructive induction
IJCAI'89 Proceedings of the 11th international joint conference on Artificial intelligence - Volume 1
Domain-independent extensions to GSAT: solving large structured satisfiability problems
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 1
Experiments with associative-commutative discrimination nets
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Stochastic search and phase transitions: AI meets physics
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Interrogating witnesses for geometric constraint solving
2009 SIAM/ACM Joint Conference on Geometric and Physical Modeling
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 2
Conflict Resolution in the Scheduling of Television Commercials
Operations Research
Using a greedy random adaptative search procedure to solve the cover printing problem
Computers and Operations Research
Multidimensional max-flow method and its application for plastic analysis
Advances in Engineering Software
Journal of Artificial Intelligence Research
On the computational complexity of coalitional resource games
Artificial Intelligence
Orthogonal drawings of graphs with vertex and edge labels
Computational Geometry: Theory and Applications
Chips on wafers, or packing rectangles into grids
Computational Geometry: Theory and Applications - Special issue on the 19th European workshop on computational geometry - EuroCG 03
On the optimization of storage capacity allocation for content distribution
Computer Networks: The International Journal of Computer and Telecommunications Networking
Optimal energy-efficient pair-wise cooperative transmission scheme for WiMax mesh networks
IEEE Journal on Selected Areas in Communications - Special issue on broadband access networks: Architectures and protocols
A cutting-plane method based on redundant rows for improving fractional distance
IEEE Journal on Selected Areas in Communications - Special issue on capaciyy approaching codes
On the bias and performance of the edge-set encoding
IEEE Transactions on Evolutionary Computation
Innovations diffusion: a spatial sampling scheme for distributed estimation and detection
IEEE Transactions on Signal Processing
Experience-based approach to scheduling problems with the learning effect
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Optimization-based dynamic sensor management for distributed multitarget tracking
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
Mapping link SNRs of real-world wireless networks onto an indoor testbed
IEEE Transactions on Wireless Communications
Dynamic association for load balancing and interference avoidance in multi-cell networks
IEEE Transactions on Wireless Communications
Multiple view semi-supervised dimensionality reduction
Pattern Recognition
Local search: is brute-force avoidable?
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Circuit complexity and decompositions of global constraints
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Local search: is brute-force avoidable?
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Performance of random access scheduling schemes in multi-hop wireless networks
IEEE/ACM Transactions on Networking (TON)
Automation and Remote Control
A Closed-Loop Control Traffic Engineering System for the Dynamic Load Balancing of Inter-AS Traffic
Journal of Network and Systems Management
Complexity of evolution in maximum cooperative P systems
Natural Computing: an international journal
An adaptive multi-policy grid service for biological sequence comparison
Journal of Parallel and Distributed Computing
On Multi-dimensional Envy-Free Mechanisms
ADT '09 Proceedings of the 1st International Conference on Algorithmic Decision Theory
Aggregating Interval Orders by Propositional Optimization
ADT '09 Proceedings of the 1st International Conference on Algorithmic Decision Theory
Cardinal Addition in Distributive Allegories
RelMiCS '09/AKA '09 Proceedings of the 11th International Conference on Relational Methods in Computer Science and 6th International Conference on Applications of Kleene Algebra: Relations and Kleene Algebra in Computer Science
Local Algorithms: Self-stabilization on Speed
SSS '09 Proceedings of the 11th International Symposium on Stabilization, Safety, and Security of Distributed Systems
Optimizing QoS-Aware Semantic Web Service Composition
ISWC '09 Proceedings of the 8th International Semantic Web Conference
Application of Dynamic Programming to Solving K Postmen Chinese Postmen Problem
ICIC '07 Proceedings of the 3rd International Conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence
On the Convex Hull of the Integer Points in a Bi-circular Region
IWCIA '09 Proceedings of the 13th International Workshop on Combinatorial Image Analysis
Bivium as a Mixed-Integer Linear Programming Problem
Cryptography and Coding '09 Proceedings of the 12th IMA International Conference on Cryptography and Coding
A Combinatorial Algorithm for Horn Programs
ISAAC '09 Proceedings of the 20th International Symposium on Algorithms and Computation
3D Human Body Tracking in Unconstrained Scenes
PCM '09 Proceedings of the 10th Pacific Rim Conference on Multimedia: Advances in Multimedia Information Processing
Grouping strategies for promoting image quality of watermarking on the basis of vector quantization
Journal of Visual Communication and Image Representation
A constraint-based dynamic geometry system
Computer-Aided Design
An efficient method for maintaining data cubes incrementally
Information Sciences: an International Journal
Computers and Operations Research
Hardware/software partitioning and pipelined scheduling on runtime reconfigurable FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
ISBI'09 Proceedings of the Sixth IEEE international conference on Symposium on Biomedical Imaging: From Nano to Macro
An approximation algorithm for a 2-depot, heterogeneous vehicle routing problem
ACC'09 Proceedings of the 2009 conference on American Control Conference
Assigning cameras to subjects in video surveillance systems
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Data-independent neighborhood functions and strict local optima
Discrete Applied Mathematics
Polynomial approximation schemes and exact algorithms for optimum curve segmentation problems
Discrete Applied Mathematics
Eigenvectors of interval matrices over max-plus algebra
Discrete Applied Mathematics
On the probabilistic minimum coloring and minimum k-coloring
Discrete Applied Mathematics
The complexity of maximum matroid-greedoid intersection and weighted greedoid maximization
Discrete Applied Mathematics - Special issue: Efficient algorithms
A note on the approximation of the max clique problem
Information Processing Letters
Computational complexity of queries based on itemsets
Information Processing Letters
Improved algorithm for the symmetry number problem on trees
Information Processing Letters
Intersection reporting on two collections of disjoint sets
Information Sciences: an International Journal
Inference in credal networks: branch-and-bound methods and the A/R+ algorithm
International Journal of Approximate Reasoning
Rate allocation for quantized control over noisy channels
WiOPT'09 Proceedings of the 7th international conference on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks
Analysis of the (1 + 1)-EA for finding approximate solutions to vertex cover problems
IEEE Transactions on Evolutionary Computation
Preference learning for cognitive modeling: a case study on entertainment preferences
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Optimizing scheduling and intercluster connection for application-specific DSP processors
IEEE Transactions on Signal Processing
Feasibility of semiring-based timing constraints
ACM Transactions on Embedded Computing Systems (TECS)
Region-based image registration for mosaicking
International Journal of Computer Applications in Technology
Management System for Terminals in the Wireless B3G World
Wireless Personal Communications: An International Journal
Solving multiconstraint assignment problems using learning automata
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
INFORMS Journal on Computing
On profit density based greedy algorithm for a resource allocation problem in web services
International Journal of Computers and Applications
Querying and repairing inconsistent numerical databases
ACM Transactions on Database Systems (TODS)
Multiple UAV teams for multiple tasks
CISDA'09 Proceedings of the Second IEEE international conference on Computational intelligence for security and defense applications
Cross-layer rate control and dynamic scheduling in mobile ad hoc networks
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
A unified framework for the bottleneck analysis of multiclass queueing networks
Performance Evaluation
Removing outliers by minimizing the sum of infeasibilities
Image and Vision Computing
Performance adaptation in real-time intrusion detection systems
RAID'02 Proceedings of the 5th international conference on Recent advances in intrusion detection
General short computational secret sharing schemes
EUROCRYPT'95 Proceedings of the 14th annual international conference on Theory and application of cryptographic techniques
Grading knowledge: extracting degree information from texts
Grading knowledge: extracting degree information from texts
Pairwise global alignment of protein interaction networks by matching neighborhood topology
RECOMB'07 Proceedings of the 11th annual international conference on Research in computational molecular biology
Finding paths with the right cost
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
Comparing fuzzy data sets by means of graph matching technique
ICANN/ICONIP'03 Proceedings of the 2003 joint international conference on Artificial neural networks and neural information processing
HSCC'03 Proceedings of the 6th international conference on Hybrid systems: computation and control
Approximating TSP solution by MST based graph pyramid
GbRPR'07 Proceedings of the 6th IAPR-TC-15 international conference on Graph-based representations in pattern recognition
Discrete Applied Mathematics
The symmetric travelling salesman problem II. New low bounds
Automation and Remote Control
Optimal algorithms in multiview geometry
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part I
Toward optimal matching for 3D reconstruction of brachytherapy seeds
MICCAI'07 Proceedings of the 10th international conference on Medical image computing and computer-assisted intervention
A surface-based DNA computing for the positive integer linear programming problem
ICIC'07 Proceedings of the intelligent computing 3rd international conference on Advanced intelligent computing theories and applications
Hill climbing algorithm for random sample consensus methods
ISVC'07 Proceedings of the 3rd international conference on Advances in visual computing - Volume Part I
Quality of availability: replica placement for widely distributed systems
IWQoS'03 Proceedings of the 11th international conference on Quality of service
Extending propositional logic with concrete domains for multi-issue bilateral negotiation
DALT'07 Proceedings of the 5th international conference on Declarative agent languages and technologies V
Integer optimization by local search: a domain-independent approach
Integer optimization by local search: a domain-independent approach
Mean squared residue based biclustering algorithms
ISBRA'08 Proceedings of the 4th international conference on Bioinformatics research and applications
A local search algorithm for a SAT representation of scheduling problems
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Graph-based profile similarity calculation method and evaluation
ECIR'08 Proceedings of the IR research, 30th European conference on Advances in information retrieval
Computation and incentives in combinatorial public projects
Proceedings of the 11th ACM conference on Electronic commerce
On the Topology of Discrete Strategies
International Journal of Robotics Research
Autonomous dynamic reconfiguration in multi-agent systems: improving the quality and efficiency of collaborative problem solving
Gender and ethnicity identification from silhouetted face profiles
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Feature selection with redundancy-constrained class separability
IEEE Transactions on Neural Networks
Efficient resource allocation with flexible channel cooperation in OFDMA cognitive radio networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
A greedy link scheduler for wireless networks with Gaussian multiple access and broadcast channels
INFOCOM'10 Proceedings of the 29th conference on Information communications
A framework for joint network coding and transmission rate control in wireless networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Optimizing substream scheduling for peer-to-peer live streaming
CCNC'10 Proceedings of the 7th IEEE conference on Consumer communications and networking conference
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
A framework for reliability aware layered multi-cast in lossy networks with network coding
Computer Communications
The Knowledge Engineering Review
Algorithm synthesis through problem reformulation
AAAI'87 Proceedings of the sixth National conference on Artificial intelligence - Volume 2
Computers & Mathematics with Applications
An investigation into mathematical programming for finite horizon decentralized POMDPs
Journal of Artificial Intelligence Research
Algorithm synthesis through problem reformulation
AAAI'87 Proceedings of the sixth National conference on Artificial intelligence - Volume 2
Finding optimal solutions to the twenty-four puzzle
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 2
A new method for solving hard satisfiability problems
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
An empirical study of greedy local search for satisfiability testing
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Planning by rewriting: efficiently generating high-quality plans
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
Image clustering using local discriminant models and global integration
IEEE Transactions on Image Processing - Special section on distributed camera networks: sensing, processing, communication, and implementation
Discrete buffer and wire sizing for link-based non-tree clock networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Bags of phrases with codebooks alignment for near duplicate image detection
Proceedings of the 2nd ACM workshop on Multimedia in forensics, security and intelligence
Algorithms and theory of computation handbook
Advanced combinatorial algorithms
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Configuring software product line feature models based on Stakeholders' soft and hard requirements
SPLC'10 Proceedings of the 14th international conference on Software product lines: going beyond
Real-time digital signal processing of component-oriented phased array radars
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
An effective multilevel tabu search approach for balanced graph partitioning
Computers and Operations Research
Two-channel parallelogram QMF banks using 2-D NSHP digital all-pass filters
IEEE Transactions on Circuits and Systems Part I: Regular Papers - Special section on 2009 IEEE custom integrated circuits conference
IEEE Transactions on Wireless Communications
Weighted argument systems: Basic definitions, algorithms, and complexity results
Artificial Intelligence
EURASIP Journal on Wireless Communications and Networking - Special issue on theoretical and algorithmic foundations of wireless ad hoc and sensor networks
A new performance index for ICA: properties, computation and asymptotic analysis
LVA/ICA'10 Proceedings of the 9th international conference on Latent variable analysis and signal separation
Computer Networks: The International Journal of Computer and Telecommunications Networking
Experimental study on approximation algorithms for guarding sets of line segments
ISVC'10 Proceedings of the 6th international conference on Advances in visual computing - Volume Part I
Guarding a set of line segments in the plane
Theoretical Computer Science
A distributed algorithm for the multi-robot task allocation problem
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part I
Collective Inference for Extraction MRFs Coupled with Symmetric Clique Potentials
The Journal of Machine Learning Research
CLAM: Clustering Large Applications Using Metaheuristics
Journal of Mathematical Modelling and Algorithms
Greedy algorithms for the minimization knapsack problem: Average behavior
Journal of Computer and Systems Sciences International
Optimal search path for service in the presence of disruptions
Computers and Operations Research
Multiple UAV Coalitions for a Search and Prosecute Mission
Journal of Intelligent and Robotic Systems
A hypothesis test for equality of bayesian network models
EURASIP Journal on Bioinformatics and Systems Biology
A robust approach for nonlinear UAV task assignment problem under uncertainty
Transactions on computational collective intelligence II
Energy-efficient collaborative tracking in wireless sensor networks
International Journal of Sensor Networks
Toward forensics by stroke order variation: performance evaluation of stroke correspondence methods
IWCF'10 Proceedings of the 4th international conference on Computational forensics
Optimal and heuristic algorithms for solving the binding problem
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Discrete Applied Mathematics
Fast density-weighted low-rank approximation spectral clustering
Data Mining and Knowledge Discovery
Structure extraction from PDF-based book documents
Proceedings of the 11th annual international ACM/IEEE joint conference on Digital libraries
Differential dependencies: Reasoning and discovery
ACM Transactions on Database Systems (TODS)
Improved approximations for hard optimization problems via problem instance classification
Rainbow of computer science
Complexity and approximability issues in combinatorial image analysis
IWCIA'11 Proceedings of the 14th international conference on Combinatorial image analysis
On the complexity of core, kernel, and bargaining set
Artificial Intelligence
Document clustering with universum
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Tolerating correlated failures for generalized Cartesian distributions via bipartite matching
Proceedings of the 8th ACM International Conference on Computing Frontiers
Area impact analysis of via-configurable regular fabric for digital integrated circuit design
Proceedings of the 24th symposium on Integrated circuits and systems design
Three-Index linear programs with nested structure
Automation and Remote Control
Reliable and restricted quickest path problems
INOC'11 Proceedings of the 5th international conference on Network optimization
Search Engine Query Clustering Using Top-k Search Results
WI-IAT '11 Proceedings of the 2011 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology - Volume 01
Mathematics of Operations Research
Flexible and approximate computation through state-space reduction
UAI'98 Proceedings of the Fourteenth conference on Uncertainty in artificial intelligence
Instance-based 'one-to-some' assignment of similarity measures to attributes
OTM'11 Proceedings of the 2011th Confederated international conference on On the move to meaningful internet systems - Volume Part I
Evolutionary squeaky wheel optimization: A new framework for analysis
Evolutionary Computation
Evolutionary optimization in spatio-temporal fitness landscapes
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Stochastic global optimization as a filtering problem
Journal of Computational Physics
Computing maximum matching in parallel on bipartite graphs: worth the effort?
Proceedings of the first workshop on Irregular applications: architectures and algorithm
Pattern classification using composite features
ICANN'06 Proceedings of the 16th international conference on Artificial Neural Networks - Volume Part II
Shape retrieval using shape contexts and cyclic dynamic time warping
ICIAR'06 Proceedings of the Third international conference on Image Analysis and Recognition - Volume Part I
B-Matching for spectral clustering
ECML'06 Proceedings of the 17th European conference on Machine Learning
Proceedings of the 19th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Algorithms for the transportation problem in geometric settings
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Inference in polytrees with sets of probabilities
UAI'03 Proceedings of the Nineteenth conference on Uncertainty in Artificial Intelligence
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
On balloon drawings of rooted trees
GD'05 Proceedings of the 13th international conference on Graph Drawing
Towards optimal rate allocation for data aggregation in wireless sensor networks
MobiHoc '11 Proceedings of the Twelfth ACM International Symposium on Mobile Ad Hoc Networking and Computing
On a class of branching problems in broadcasting and distribution
Computers and Operations Research
A novel approach for affine point pattern matching
ICIAR'06 Proceedings of the Third international conference on Image Analysis and Recognition - Volume Part II
Multi-index transport problems with decomposition structure
Automation and Remote Control
Polyhedrization of discrete convex volumes
ISVC'06 Proceedings of the Second international conference on Advances in Visual Computing - Volume Part I
A trace compression algorithm targeting power estimation of long benchmarks
Proceedings of the International Conference on Computer-Aided Design
Consistency of XML specifications
Inconsistency Tolerance
Using genetic algorithms to improve accuracy of economical indexes prediction
IDA'05 Proceedings of the 6th international conference on Advances in Intelligent Data Analysis
Extending the GA-EDA hybrid algorithm to study diversification and intensification in GAs and EDAs
IDA'05 Proceedings of the 6th international conference on Advances in Intelligent Data Analysis
Tracking objects using shape context matching
Neurocomputing
Improved approximation algorithms for metric maximum ATSP and maximum 3-cycle cover problems
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Augmenting the edge-connectivity of a spider tree
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Inner rectangular drawings of plane graphs
ISAAC'04 Proceedings of the 15th international conference on Algorithms and Computation
Gait recognition using procrustes shape analysis and shape context
ACCV'09 Proceedings of the 9th Asian conference on Computer Vision - Volume Part III
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
Perfect reconstruction of black pixels revisited
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
A study of applying dimensionality reduction to restrict the size of a hypothesis space
ILP'05 Proceedings of the 15th international conference on Inductive Logic Programming
Vector bin packing with multiple-choice
SWAT'10 Proceedings of the 12th Scandinavian conference on Algorithm Theory
Local search: Is brute-force avoidable?
Journal of Computer and System Sciences
A vector space model for semantic similarity calculation and OWL ontology alignment
DEXA'06 Proceedings of the 17th international conference on Database and Expert Systems Applications
A livelock freedom analysis for infinite state asynchronous reactive systems
CONCUR'06 Proceedings of the 17th international conference on Concurrency Theory
OXONE: a scalable solution for detecting superior quality deltas on ordered large xml documents
ER'06 Proceedings of the 25th international conference on Conceptual Modeling
Theoretical Computer Science
Solving matrix games using Lagrange multipliers
ACMOS'09 Proceedings of the 11th WSEAS international conference on Automatic control, modelling and simulation
A multi-model algorithm for the cost-oriented design of Internet-based systems
Information Sciences: an International Journal
MDSM: Microarray database schema matching using the Hungarian method
Information Sciences: an International Journal
Algorithms of discrete optimization and their application to problems with fuzzy coefficients
Information Sciences: an International Journal
Approximation algorithms for a geometric set cover problem
Discrete Applied Mathematics
Field splitting problems in intensity-modulated radiation therapy
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
Evaluation framework for video OCR
ICVGIP'06 Proceedings of the 5th Indian conference on Computer Vision, Graphics and Image Processing
Computing utility from weighted description logic preference formulas
DALT'09 Proceedings of the 7th international conference on Declarative Agent Languages and Technologies
Evolving by maximizing the number of rules: complexity study
WMC'09 Proceedings of the 10th international conference on Membrane Computing
Strong combination of ant colony optimization with constraint programming optimization
CPAIOR'10 Proceedings of the 7th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Performance evaluation of text detection and tracking in video
DAS'06 Proceedings of the 7th international conference on Document Analysis Systems
A greedy link scheduler for wireless networks with Gaussian multiple-access and broadcast channels
IEEE/ACM Transactions on Networking (TON)
Hitting diamonds and growing cacti
IPCO'10 Proceedings of the 14th international conference on Integer Programming and Combinatorial Optimization
An OPT+1 algorithm for the cutting stock problem with constant number of object lengths
IPCO'10 Proceedings of the 14th international conference on Integer Programming and Combinatorial Optimization
Heuristic approaches for the optimal wiring in large scale robotic skin design
Computers and Operations Research
Vector bin packing with multiple-choice
Discrete Applied Mathematics
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
A new look at the automatic synthesis of linear ranking functions
Information and Computation
A near-linear time ε-approximation algorithm for geometric bipartite matching
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
Design of 2-D interpolation/decimation filters using a general 2-D digital allpass filter
Digital Signal Processing
Differentially private search log sanitization with optimal output utility
Proceedings of the 15th International Conference on Extending Database Technology
Mathematical and Computer Modelling: An International Journal
The stable set problem and the thinness of a graph
Operations Research Letters
Order preserving reductions and polynomial improving paths
Operations Research Letters
Bounds on the size of branch-and-bound proofs for integer knapsacks
Operations Research Letters
Direct graph k-partitioning with a Kernighan-Lin like heuristic
Operations Research Letters
Two due date assignment problems in scheduling a single machine
Operations Research Letters
Wavelength-reuse in optical time-slotted networks
Optical Switching and Networking
Survey: A survey on the structure of approximation classes
Computer Science Review
George Dantzig's impact on the theory of computation
Discrete Optimization
Formulation of parallel image processing tasks
Pattern Recognition Letters
Deploying mobile nodes for maximal energy matching in WSNs
Wireless Communications & Mobile Computing
Survivable routing in IP-over-WDM networks: An efficient and scalable local search algorithm
Optical Switching and Networking
The uniquely solvable bipartite matching problem
Operations Research Letters
On the complexity of scheduling tasks with discrete starting times
Operations Research Letters
A primal-dual simplex method for linear programs
Operations Research Letters
A greedy heuristic for a minimum-weight forest problem
Operations Research Letters
The traveling salesman problem with delivery and backhauls
Operations Research Letters
A 53-approximation algorithm for the clustered traveling salesman tour and path problems
Operations Research Letters
A new and improved algorithm for the 3-cut problem
Operations Research Letters
Lexicographic bottleneck combinatorial problems
Operations Research Letters
On negative cycles in mixed graphs
Operations Research Letters
An analysis of a decomposition heuristic for the assignment problem
Operations Research Letters
A simple complexity proof for a polynomial-time linear programming algorithm
Operations Research Letters
A polynomial-time solution to Papadimitriou and Steiglitz's 'traps'
Operations Research Letters
A new dominance procedure for combinatorial optimization problems
Operations Research Letters
Operations Research Letters
Karmarkar's algorithm and the ellipsoid method
Operations Research Letters
Solving H-horizon, stationary Markov decision problems in time proportional to log(H)
Operations Research Letters
Operations Research Letters
An algorithm for the multiprocessor assignment problem
Operations Research Letters
New bounds and algorithms for the transshipment yard scheduling problem
Journal of Scheduling
In-Place algorithms for computing a largest clique in geometric intersection graphs
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
Solving disjunctive temporal problems with preferences using maximum satisfiability
AI Communications - 18th RCRA International Workshop on “Experimental evaluation of algorithms for solving problems with combinatorial explosion”
Gestures as point clouds: a $P recognizer for user interface prototypes
Proceedings of the 14th ACM international conference on Multimodal interaction
Probabilistic techniques in algorithmic game theory
SAGA'07 Proceedings of the 4th international conference on Stochastic Algorithms: foundations and applications
Min-Max quickest path problems
Networks
Models of opinion control for agents in social networks
Automation and Remote Control
Concurrent games on VASS with inhibition
CONCUR'12 Proceedings of the 23rd international conference on Concurrency Theory
Scheduling for network-coded multicast
IEEE/ACM Transactions on Networking (TON)
Multiindex transportation problems with 2-embedded structure
Automation and Remote Control
Solving graph coloring problem by fuzzy clustering-based genetic algorithm
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
Breakout Local Search for the Max-Cutproblem
Engineering Applications of Artificial Intelligence
A sub-quadratic algorithm for bipartite matching of planar points with bounded integer coordinates
Proceedings of the twenty-ninth annual symposium on Computational geometry
A spectral-multiplicity-tolerant approach to robust graph matching
Pattern Recognition
Proceedings of the 2013 9th Joint Meeting on Foundations of Software Engineering
Biomedical time series clustering based on non-negative sparse coding and probabilistic topic model
Computer Methods and Programs in Biomedicine
Interpretable clustering using unsupervised binary trees
Advances in Data Analysis and Classification
Efficient and adaptive resource scheduling in IEEE 802.16j transparent relay networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Realizing the benefits of wireless network coding in multirate settings
IEEE/ACM Transactions on Networking (TON)
International Journal of Metaheuristics
Camera selection for tracking in distributed smart camera networks
ACM Transactions on Sensor Networks (TOSN)
Metaheuristic entry points for harnessing human computation in mainstream games
OCSC'13 Proceedings of the 5th international conference on Online Communities and Social Computing
Marketing Science
Information Sciences: an International Journal
Cell tracking in microscopic video using matching and linking of bipartite graphs
Computer Methods and Programs in Biomedicine
The topological drawing of a graph: Construction methods
Automation and Remote Control
ParadisEO-MO: from fitness landscape analysis to efficient local search algorithms
Journal of Heuristics
Cybernetics and Systems Analysis
The operating core of an organization: A constrained optimization model
Automation and Remote Control
Secure and efficient distributed linear programming
Journal of Computer Security - DBSec 2011
Learning optimal bayesian networks: a shortest path perspective
Journal of Artificial Intelligence Research
Comparing Problem Solving Strategies for NP-hard Optimization Problems
Fundamenta Informaticae - Cognitive Informatics and Computational Intelligence: Theory and Applications
Hi-index | 0.10 |