Sequencing Aspects of Multiprogramming
Journal of the ACM (JACM)
Production and Stabilization of Real-Time Task Schedules
Journal of the ACM (JACM)
Preemptive Scheduling of Real-Time Tasks on Multiprocessor Systems
Journal of the ACM (JACM)
A Survey of Analytical Time-Sharing Models
ACM Computing Surveys (CSUR)
A policy-driven scheduler for a time-sharing system
Communications of the ACM
A scheduling philosophy for multiprocessing systems
Communications of the ACM
Multiprogram scheduling: parts 3 and 4. scheduling algorithm and external constraints
Communications of the ACM
ACM SIGAda Ada Letters
Limitations of Ada for real-time scheduling
IRTAW '87 Proceedings of the first international workshop on Real-time Ada issues
Concurrency control for distributed real-time databases
ACM SIGMOD Record - Special Issue on Real-Time Database Systems
Priority-driven, preemptive I/O controllers for real-time systems
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
A testbed for investigating real-time Ada issues
IRTAW '88 Proceedings of the second international workshop on Real-time Ada issues
The priority ceiling protocol: A method for minimizing the blocking of high priority Ada tasks
IRTAW '88 Proceedings of the second international workshop on Real-time Ada issues
On means to provide flexibility in scheduling
IRTAW '88 Proceedings of the second international workshop on Real-time Ada issues
A practical application of the ceiling protocol in a real-time system
IRTAW '88 Proceedings of the second international workshop on Real-time Ada issues
Priority inversion and its control: An experimental investigation
IRTAW '88 Proceedings of the second international workshop on Real-time Ada issues
Self-timing programs and the quantum scheduler
Communications of the ACM
Decentralized Decision-Making for Task Reallocation in a Hard Real-Time System
IEEE Transactions on Computers
A real-time monitor for a distributed real-time operating system
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
A periodic Ada control kernel (PACK)
TRI-Ada '89 Proceedings of the conference on Tri-Ada '89: Ada technology in context: application, development, and deployment
Real-time software engineering in Ada: observations and recommendations
TRI-Ada '89 Proceedings of the conference on Tri-Ada '89: Ada technology in context: application, development, and deployment
Distributed Scheduling of Tasks with Deadlines and Resource Requirements
IEEE Transactions on Computers
Multiprocessor Online Scheduling of Hard-Real-Time Tasks
IEEE Transactions on Software Engineering
Some Results of the Earliest Deadline Scheduling Algorithm
IEEE Transactions on Software Engineering
A system for computer music performance
ACM Transactions on Computer Systems (TOCS)
Scheduling Processes with Release Times, Deadlines, Precedence and Exclusion Relations
IEEE Transactions on Software Engineering
Real-Time Scheduling Theory and Ada
Computer
Scheduling real-time transactions with disk resident data
VLDB '89 Proceedings of the 15th international conference on Very large data bases
Predictive deadline multi-processing
ACM SIGOPS Operating Systems Review
IRTAW '90 Proceedings of the fourth international workshop on Real-time Ada issues
Efficient periodic execution of Ada tasks
IRTAW '90 Proceedings of the fourth international workshop on Real-time Ada issues
Scheduling Periodic Jobs that Allow Imprecise Results
IEEE Transactions on Computers
Algorithms for Scheduling Imprecise Computations
Computer - Special issue on real-time systems
Scheduling periodic and aperiodic tasks in hard real-time computing systems
SIGMETRICS '91 Proceedings of the 1991 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Reducing problem-solving variance to improve predictability
Communications of the ACM
Static Rate-Optimal Scheduling of Iterative Data-Flow Programs Via Optimum Unfolding
IEEE Transactions on Computers
MARS: the magnet II real-time scheduling algorithm
SIGCOMM '91 Proceedings of the conference on Communications architecture & protocols
Scheduling and IPC mechanisms for continuous media
SOSP '91 Proceedings of the thirteenth ACM symposium on Operating systems principles
An overview of real-time issues and Ada
ACM SIGAda Ada Letters
ACM SIGART Bulletin
Multi-level specification and protocol design for distributed multimedia communication
COCS '91 Proceedings of the conference on Organizational computing systems
On satisfying timing constraints in hard-real-time systems
SIGSOFT '91 Proceedings of the conference on Software for citical systems
The Rejection Rate for Tasks with Random Arrivals, Deadlines, and Preemptive Scheduling
IEEE Transactions on Software Engineering
IEEE Transactions on Computers
Analyzing Hard-Real-Time Programs for Guaranteed Schedulability
IEEE Transactions on Software Engineering
Scheduling real-time transactions: a performance evaluation
ACM Transactions on Database Systems (TODS)
WADAS '91 Proceedings of the eighth annual Washington Ada symposium & summer SIGAda meeting on Ada: software: foundation for competitveness
The impact of time on the session problem
PODC '92 Proceedings of the eleventh annual ACM symposium on Principles of distributed computing
Dynamic Scheduling of Hard Real-Time Tasks and Real-Time Threads
IEEE Transactions on Software Engineering
A file system for continuous media
ACM Transactions on Computer Systems (TOCS)
General Schedulers for the Pinwheel Problem Based on Double-Integer Reduction
IEEE Transactions on Computers
ACM SIGOPS Operating Systems Review
The performance of a mixed priority real-time scheduling algorithm
ACM SIGOPS Operating Systems Review
SIGCOMM '92 Conference proceedings on Communications architectures & protocols
Continuous media communication with dynamic QOS control using ARTS with an FDDI network
SIGCOMM '92 Conference proceedings on Communications architectures & protocols
On-Line Scheduling of Real-Time Tasks
IEEE Transactions on Computers
Reusable real-time executive in Ada design issues
ACM SIGAda Ada Letters
Measuring, monitoring and enforcing CPU execution time
ACM SIGAda Ada Letters
Metascheduling for continuous media
ACM Transactions on Computer Systems (TOCS)
High availability in a real-time system
ACM SIGOPS Operating Systems Review
Static and dynamic polling mechanisms for fieldbus networks
ACM SIGOPS Operating Systems Review
Control handling in real-time communication protocols
SIGCOMM '93 Conference proceedings on Communications architectures, protocols and applications
ATM scheduling with queuing delay predictions
SIGCOMM '93 Conference proceedings on Communications architectures, protocols and applications
A multimedia client to the IBM LAN server
MULTIMEDIA '93 Proceedings of the first ACM international conference on Multimedia
Disk scheduling in a multimedia I/O system
MULTIMEDIA '93 Proceedings of the first ACM international conference on Multimedia
Proportionate progress: a notion of fairness in resource allocation
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Real-time transaction scheduling: a cost conscious approach
SIGMOD '93 Proceedings of the 1993 ACM SIGMOD international conference on Management of data
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Effects of nonsymmetric release times on rate monotonic scheduling
CSC '93 Proceedings of the 1993 ACM conference on Computer science
I/O issues in a multimedia system
Computer
Ada real-time systems and basic priority inheritance
ACM SIGAda Ada Letters
Implementing analysable hard real-time sporadic tasks in Ada 9X
ACM SIGAda Ada Letters
A bibliography on garbage collection and related topics
ACM SIGPLAN Notices
Automated Derivation of Time Bounds in Uniprocessor Concurrent Systems
IEEE Transactions on Software Engineering
On the storage and retrieval of continuous media data
CIKM '94 Proceedings of the third international conference on Information and knowledge management
How to Integrate Precedence Constraints and Shared Resources in Real-Time Scheduling
IEEE Transactions on Computers
Managing memory for real-time queries
SIGMOD '94 Proceedings of the 1994 ACM SIGMOD international conference on Management of data
A tool for the deterministic scheduling of real-time programs implemented as periodic Ada tasks
SETA2 Proceedings of the second international symposium on Environments and tools for Ada
Advancing Ada 9X solutions in real time avionics computers
ACM SIGAda Ada Letters
The system architecture of the Heidelberg transport system
ACM SIGOPS Operating Systems Review
A simple and efficient bus management scheme that supports continuous streams
ACM Transactions on Computer Systems (TOCS)
The Deferrable Server Algorithm for Enhanced Aperiodic Responsiveness in Hard Real-Time Environments
IEEE Transactions on Computers
Tolerating Sensor Timing Faults in Highly Responsive Hard Real-Time Systems
IEEE Transactions on Computers - Special issue on fault-tolerant computing
Allocation and Scheduling of Precedence-Related Periodic Tasks
IEEE Transactions on Parallel and Distributed Systems
Implementing real-time services in MINIX
ACM SIGOPS Operating Systems Review
Selection of timed token protocol parameters to guarantee message deadlines
IEEE/ACM Transactions on Networking (TON)
Scheduling MPEG-compressed video streams with firm deadline constraints
Proceedings of the third ACM international conference on Multimedia
Optimal Mutex policy in Ada 95
ACM SIGAda Ada Letters
Scheduling of hard aperiodic tasks
LCTES '95 Proceedings of the ACM SIGPLAN 1995 workshop on Languages, compilers, & tools for real-time systems
Compiler support for software-based cache partitioning
LCTES '95 Proceedings of the ACM SIGPLAN 1995 workshop on Languages, compilers, & tools for real-time systems
Automatic generation of scheduling and communication code in real-time parallel programs
LCTES '95 Proceedings of the ACM SIGPLAN 1995 workshop on Languages, compilers, & tools for real-time systems
Synthesis of software programs for embedded control application
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
ACM SIGOPS Operating Systems Review
Scalable performance scheduling for hardware-software cosynthesis
EURO-DAC '95/EURO-VHDL '95 Proceedings of the conference on European design automation
Sensitivity-driven co-synthesis of distributed embedded systems
ISSS '95 Proceedings of the 8th international symposium on System synthesis
Communication synthesis for distributed embedded systems
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
A modular approach to real-time synchronization
ACM SIGPLAN OOPS Messenger - Special issue: object-oriented real-time systems
Real-time scheduling of multimedia data retrieval to minimize buffer requirement
ACM SIGOPS Operating Systems Review
A router architecture for real-time point-to-point networks
ISCA '96 Proceedings of the 23rd annual international symposium on Computer architecture
Bringing real-time scheduling theory and practice closer for multimedia computing
Proceedings of the 1996 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
An approximate analysis of waiting time in multi-class M/G/1/./EDF queues
Proceedings of the 1996 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Resource reservations in networked multimedia systems
ACM Computing Surveys (CSUR)
Object-oriented cosynthesis of distributed embedded systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Timing Analysis of Ada Tasking Programs
IEEE Transactions on Software Engineering - Special issue: best papers of the 1996 international symposium on software testing and analysis ISSTA'96
Priority ceiling protocol in Ada
Proceedings of the conference on TRI-Ada '96: disciplined software development with Ada
Scheduling soft aperiodic tasks in adaptable fixed-priority systems
ACM SIGOPS Operating Systems Review
Validation of timing properties
ACM Computing Surveys (CSUR) - Special issue: position statements on strategic directions in computing research
Value-density algorithms for the deliberation-scheduling problem
ACM SIGART Bulletin
Exact admission control for networks with a bounded delay service
IEEE/ACM Transactions on Networking (TON)
Mechanisms for detecting and handling timing errors
Communications of the ACM
Adaptive rate-controlled scheduling for multimedia applications
MULTIMEDIA '96 Proceedings of the fourth ACM international conference on Multimedia
Real-time object sharing with minimal system support
PODC '96 Proceedings of the fifteenth annual ACM symposium on Principles of distributed computing
Analysis of Dual-Link Networks for Real-Time Applications
IEEE Transactions on Computers
Real-time computing with lock-free shared objects
ACM Transactions on Computer Systems (TOCS)
Analyzing partially-implemented real-time systems
ICSE '97 Proceedings of the 19th international conference on Software engineering
On the accuracy of predicting rate monotonic scheduling performance
TRI-Ada '90 Proceedings of the conference on TRI-ADA '90
A schedulability condition for deadline-ordered service disciplines
IEEE/ACM Transactions on Networking (TON)
Slicing real-time programs for enhanced schedulability
ACM Transactions on Programming Languages and Systems (TOPLAS)
Parallel hybrid models in system design
WSC '93 Proceedings of the 25th conference on Winter simulation
Using real-time queueing theory to control lateness in real-time systems
SIGMETRICS '97 Proceedings of the 1997 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
An Optimal Algorithm for Scheduling Soft Aperiodic Tasks in Dynamic-Priority Preemptive Systems
IEEE Transactions on Software Engineering
Statistical Real-Time Channels on Multiaccess Bus Networks
IEEE Transactions on Parallel and Distributed Systems
Adaptive rate-controlled scheduling for multimedia applications
IEEE/ACM Transactions on Networking (TON)
On Slot Allocation for Time-Constrained Messages in Dual-Bus Networks
IEEE Transactions on Computers
The design and performance of a real-time CORBA event service
Proceedings of the 12th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Scheduling and admission testing for jitter-constrained periodic threads
Multimedia Systems
Design and Evaluation of a Window-Consistent Replication Service
IEEE Transactions on Computers
Scheduling for Overload in Real-Time Systems
IEEE Transactions on Computers
Schedule validation for embedded reactive real-time systems
DAC '97 Proceedings of the 34th annual Design Automation Conference
A task-level hierarchical memory model for system synthesis of multiprocessors
DAC '97 Proceedings of the 34th annual Design Automation Conference
Predicting timing behavior in architectural design exploration of real-time embedded systems
DAC '97 Proceedings of the 34th annual Design Automation Conference
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
The design, implementation and evaluation of SMART: a scheduler for multimedia applications
Proceedings of the sixteenth ACM symposium on Operating systems principles
CPU reservations and time constraints: efficient, predictable scheduling of independent activities
Proceedings of the sixteenth ACM symposium on Operating systems principles
Incremental Reconfiguration and Load Adjustment in Adaptive Real-Time Systems
IEEE Transactions on Computers
A message-based real-time model by object-oriented technique
ACM SIGOPS Operating Systems Review
IEEE Transactions on Software Engineering
Structuring Communication Software for Quality-of-Service Guarantees
IEEE Transactions on Software Engineering
A Multiframe Model for Real-Time Tasks
IEEE Transactions on Software Engineering
Assignment and Scheduling Communicating Periodic Tasks in Distributed Real-Time Systems
IEEE Transactions on Software Engineering
Design of Dynamically Reconfigurable Real-Time Software Using Port-Based Objects
IEEE Transactions on Software Engineering
IRTAW '97 Proceedings of the eighth international workshop on Real-Time Ada
T-SMART—task-safe, minimal Ada realtime toolset
IRTAW '97 Proceedings of the eighth international workshop on Real-Time Ada
On the Effectiveness of the FDDI-M Medium Access Protocol for Real-Time Traffic
The Journal of Supercomputing - Special issue: high performance computing systems
Adding real-time capabilities to Java
Communications of the ACM
A tool for performance estimation of networked embedded end-systems
DAC '98 Proceedings of the 35th annual Design Automation Conference
Scheduling time-constrained communication in linear networks
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Cello: a disk scheduling framework for next generation operating systems
SIGMETRICS '98/PERFORMANCE '98 Proceedings of the 1998 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Predicting MPEG execution times
SIGMETRICS '98/PERFORMANCE '98 Proceedings of the 1998 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Scheduling policies to support distributed 3D multimedia applications
SIGMETRICS '98/PERFORMANCE '98 Proceedings of the 1998 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Combining multiple models of computation for scheduling and allocation
Proceedings of the 6th international workshop on Hardware/software codesign
Analysis of Cache-Related Preemption Delay in Fixed-Priority Preemptive Scheduling
IEEE Transactions on Computers
Real-Time Schedulability Tests for Preemptive Multitasking
WPDRTS Selected papers from the 4th workshop on Parallel and distributed real-time systems
Analyzing Partially-Implemented Real-Time Systems
IEEE Transactions on Software Engineering
Evaluating architectures for multithreaded object request brokers
Communications of the ACM
Software performance engineering a digital signal processing application
Proceedings of the 1st international workshop on Software and performance
Efficient user-space protocol implementations with QoS guarantees using real-time upcalls
IEEE/ACM Transactions on Networking (TON)
Hardware/software co-synthesis with memory hierarchies
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
On-line scheduling of hard real-time tasks on variable voltage processor
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
A Router Architecture for Real-Time Communication in Multicomputer Networks
IEEE Transactions on Computers
Minimum Achievable Utilization for Fault-Tolerant Processing of Periodic Tasks
IEEE Transactions on Computers
AsaP—a framework for evaluating run-time schedulers in embedded multimedia end-systems
MULTIMEDIA '98 Proceedings of the sixth ACM international conference on Multimedia
Disk scheduling for mixed-media workloads in a multimedia server
MULTIMEDIA '98 Proceedings of the sixth ACM international conference on Multimedia
Design and Programming Tools for Time Critical Applications
Real-Time Systems
Performance Estimation for Real-Time Distributed Embedded Systems
IEEE Transactions on Parallel and Distributed Systems
Efficient admission control of piecewise linear traffic envelopes at EDF schedulers
IEEE/ACM Transactions on Networking (TON)
Self-paging in the Nemesis operating system
OSDI '99 Proceedings of the third symposium on Operating systems design and implementation
ETI resource distributor: guaranteed resource allocation and scheduling in multimedia systems
OSDI '99 Proceedings of the third symposium on Operating systems design and implementation
A feedback-driven proportion allocator for real-rate scheduling
OSDI '99 Proceedings of the third symposium on Operating systems design and implementation
A comparison of Windows driver model latency performance on Windows NT and Windows 98
OSDI '99 Proceedings of the third symposium on Operating systems design and implementation
Competitive On-Line Scheduling of Imprecise Computations
IEEE Transactions on Computers
Minimizing Aperiodic Response Times in a Firm Real-Time Environment
IEEE Transactions on Software Engineering
Predictable Threads for Dynamic, Hard Real-Time Environments
IEEE Transactions on Parallel and Distributed Systems
Fault-Tolerant Rate-Monotonic Scheduling
Real-Time Systems
Providing deterministic delay guarantees in ATM networks
IEEE/ACM Transactions on Networking (TON)
CODES '99 Proceedings of the seventh international workshop on Hardware/software codesign
Bandwidth-efficient continuous media streaming through optimal multiplexing
SIGMETRICS '99 Proceedings of the 1999 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Worst-case analysis of discrete systems based on conditional abstractions
CODES '99 Proceedings of the seventh international workshop on Hardware/software codesign
Overhead effects in real-time preemptive schedules
CODES '99 Proceedings of the seventh international workshop on Hardware/software codesign
Criticality- and QoS-Based Multiresource Negotiation andAdaptation
Real-Time Systems
DATE '99 Proceedings of the conference on Design, automation and test in Europe
A Real-Time Primary-Backup Replication Service
IEEE Transactions on Parallel and Distributed Systems
Overload Management in Real-Time Control Applications Using m,k $(m,k)$-Firm Guarantee
IEEE Transactions on Parallel and Distributed Systems
Priority Scheduling of Transactions in Distributed Real-TimeDatabases
Real-Time Systems
Power conscious fixed priority scheduling for hard real-time systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Software environment for a multiprocessor DSP
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Scheduling garbage collector for embedded real-time systems
Proceedings of the ACM SIGPLAN 1999 workshop on Languages, compilers, and tools for embedded systems
Table-based QoS control for embedded real-time systems
Proceedings of the ACM SIGPLAN 1999 workshop on Languages, compilers, and tools for embedded systems
A software synthesis tool for distributed embedded system design
Proceedings of the ACM SIGPLAN 1999 workshop on Languages, compilers, and tools for embedded systems
Specification and verification of fault-tolerance, timing, and scheduling
ACM Transactions on Programming Languages and Systems (TOPLAS)
Performance of real-time bus scheduling algorithms
SIGMETRICS '86/PERFORMANCE '86 Proceedings of the 1986 ACM SIGMETRICS joint international conference on Computer performance modelling, measurement and evaluation
Using Traffic Regulation to Meet End-to-End Deadlines in ATM Networks
IEEE Transactions on Computers
EMERALDS: a small-memory real-time microkernel
Proceedings of the seventeenth ACM symposium on Operating systems principles
Proceedings of the 8th ACM SIGOPS European workshop on Support for composing distributed applications
The stream machine: a data flow architecture for real-time applications
ICSE '85 Proceedings of the 8th international conference on Software engineering
Formal verification and analysis of multimedia systems
MULTIMEDIA '99 Proceedings of the seventh ACM international conference on Multimedia (Part 1)
Fault-Tolerant Rate-Monotonic First-Fit Scheduling in Hard-Real-Time Systems
IEEE Transactions on Parallel and Distributed Systems
Corrigendum: `` Control System Model for Critically Timed Success''
Journal of the ACM (JACM)
Optimal Deadline Assignment for Scheduling Soft Aperiodic Tasks in Hard Real-Time Environments
IEEE Transactions on Computers
A methodology and algorithms for the design of hard real-time multitasking ASICs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
An Open Environment for Real-Time Applications
Real-Time Systems
ISCA '90 Proceedings of the 17th annual international symposium on Computer Architecture
Real-Time Systems
Non-Preemptive Real-Time Scheduling of Multimedia Tasks
Real-Time Systems
Experimental Assessment of the Period Calibration Method: A Case Study
Real-Time Systems
Stabilizing Pre-Run-Time Schedules With the Help of GraceTime
Real-Time Systems
Compile time scheduling of an Ada subset
WADAS '90 Proceedings of the seventh Washington Ada symposium on Ada
Off-line scheduling of a real-time system
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
The PROMPT Real-Time Commit Protocol
IEEE Transactions on Parallel and Distributed Systems
Similarity-Based Load Adjustment for Static Real-Time Transaction Systems
IEEE Transactions on Computers
A unified wireless LAN architecture for real-time and non-real-time communication services
IEEE/ACM Transactions on Networking (TON)
Performance estimation for embedded systems with data and control dependencies
CODES '00 Proceedings of the eighth international workshop on Hardware/software codesign
Fast performance prediction for periodic task systems
CODES '00 Proceedings of the eighth international workshop on Hardware/software codesign
Secure Concurrency Control in Firm Real-Time Database Systems
Distributed and Parallel Databases - Security of data and transaction processing
Scheduling Distributed Real-Time Tasks with Minimum Jitter
IEEE Transactions on Computers
Schedulability-driven performance analysis of multiple mode embedded real-time systems
Proceedings of the 37th Annual Design Automation Conference
Efficient methods for embedded system design space exploration
Proceedings of the 37th Annual Design Automation Conference
Customization of object request brokers by application specific policies
IFIP/ACM International Conference on Distributed systems platforms
TRAnD: temporal requirement analysis and design tool
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 2
Timing Analysis for Data and Wrap-Around Fill Caches
Real-Time Systems
Cache-Conscious Limited Preemptive Scheduling
Real-Time Systems
Worst-case analysis of discrete systems
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Synchronous equivalence for embedded systems: a tool for design exploration
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
Priority Scheduling Versus Pre-Run-Time Scheduling
Real-Time Systems - Selected papers from IFAC/IFIP workshops on real-time programming
Object Oriented Design for Real-Time Systems—Responseto C. E. Pereira‘s Contribution
Real-Time Systems - Selected papers from IFAC/IFIP workshops on real-time programming
Techniques for reducing read latency of core bus wrappers
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Memory arbitration and cache management in stream-based systems
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Bus access optimization for distributed embedded systems based on schedulability analysis
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Voltage scheduling in the IpARM microprocessor system
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Timing Analysis for Instruction Caches
Real-Time Systems - Special issue on worst-case execution-time analysis
Escalations in workflow management systems
CIKM '96 Proceedings of the workshop on Databases: active and real-time
CIKM '96 Proceedings of the workshop on Databases: active and real-time
Object-oriented real-time concurrency
OOPSLA '00 Proceedings of the 15th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
IEEE Transactions on Computers
Dynamic Establishment and Termination of Real-Time Message Streams in Dual-Bus Networks
IEEE Transactions on Computers
IEEE Transactions on Parallel and Distributed Systems
Deterministic Processor Scheduling
ACM Computing Surveys (CSUR)
Scheduling Real-Time Systems with End-to-End Timing Constraints Using the Distributed Pinwheel Model
IEEE Transactions on Computers
Triggered Updates for Temporal Consistency in Real-TimeDatabases
Real-Time Systems
Real-Time Access Control and Reservation on B-Tree IndexedData
Real-Time Systems
Optimal Reward-Based Scheduling for Periodic Real-Time Tasks
IEEE Transactions on Computers
On Supporting Temporal Quality of Service in WDMA-Based Star-Coupled Optical Networks
IEEE Transactions on Computers
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Time Constrained Push Strategies in Client-Server Databases
Distributed and Parallel Databases
Parametric Design Synthesis of Distributed Embedded Systems
IEEE Transactions on Computers
RT-CRM: Real-Time Channel-Based Reflective Memory
IEEE Transactions on Computers
Real-Time Data Semantics and Similarity-Based Concurrency Control
IEEE Transactions on Computers
Proceedings of the ninth international symposium on Hardware/software codesign
Formal synthesis and code generation of embedded real-time software
Proceedings of the ninth international symposium on Hardware/software codesign
Analysis of Checkpointing for Real-Time Systems
Real-Time Systems
The Design and Performance of a Real-Time CORBA SchedulingService
Real-Time Systems - Special issue on challenges in design and implementation of middlewares for real time systems
Scheduling and Priority Mapping for Static Real-Time Middleware
Real-Time Systems - Special issue on challenges in design and implementation of middlewares for real time systems
Resource Management Middleware for Dynamic, DependableReal-Time Systems
Real-Time Systems - Special issue on challenges in design and implementation of middlewares for real time systems
Real-Time Reactions in Supervisory Control According toData Freshness
Real-Time Systems - Special issue on challenges in design and implementation of middlewares for real time systems
Dynamic scheduling of a fixed bandwidth communications channel for controlling multiple robots
Proceedings of the fifth international conference on Autonomous agents
Synchronous Bandwidth Allocation for Real-Time Communications with the Timed-Token MAC Protocol
IEEE Transactions on Computers
A reinforcement strategy for least slack scheduling using BPHT
Proceedings of the conference on TRI-Ada '95: Ada's role in global markets: solutions for a changing complex world
Proceedings of the conference on TRI-Ada '95: Ada's role in global markets: solutions for a changing complex world
Scheduling Messages with Earliest Deadline Techniques
Real-Time Systems
Real-Time Transport of MPEG Video with a Statistically Guaranteed Loss Ratio in ATM Networks
IEEE Transactions on Parallel and Distributed Systems
Predictability requirements of a soft modem
Proceedings of the 2001 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Improving dynamic voltage scaling algorithms with PACE
Proceedings of the 2001 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Automation support for software performance engineering
Proceedings of the 2001 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors
Proceedings of the 38th annual Design Automation Conference
Real-time database — similarity and resource scheduling
ACM SIGMOD Record
Hard real-time scheduling for low-energy using stochastic data and DVS processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Comments on “carry-over round robin: a simple cell scheduling mechanism for ATM networks”
IEEE/ACM Transactions on Networking (TON)
Power-Aware Design Synthesis Techniques for Distributed Real-Time Systems
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
A tool for simulation and fast prototyping of embedded control systems
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Bounding Cache-Related Preemption Delay for Real-Time Systems
IEEE Transactions on Software Engineering
Abort-Oriented Concurrency Control for Real-Time Databases
IEEE Transactions on Computers
Static Analysis and Dynamic Steering of Time-Dependent Systems
IEEE Transactions on Software Engineering
Real-time dynamic voltage scaling for low-power embedded operating systems
SOSP '01 Proceedings of the eighteenth ACM symposium on Operating systems principles
EDF scheduling using two-mode voltage-clock-scaling for hard real-time systems
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Scheduling of real-time messages in optical broadcast-and-select networks
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Prefetching for improved bus wrapper performance in cores
ACM Transactions on Design Automation of Electronic Systems (TODAES)
EMERALDS: A Small-Memory Real-Time Microkernel
IEEE Transactions on Software Engineering
Estimating probabilistic timing performance for real-time embedded systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - System Level Design
Performance Guarantees for Web Server End-Systems: A Control-Theoretical Approach
IEEE Transactions on Parallel and Distributed Systems
DDSCHED: a distributed dynamic real-time scheduling algorithm
Progress in computer research
Real-time properties of indirect recursive procedures
Information and Computation
High availability in a real-time system
EW 5 Proceedings of the 5th workshop on ACM SIGOPS European workshop: Models and paradigms for distributed systems structuring
A system software structure for distributed multimedia systems
EW 5 Proceedings of the 5th workshop on ACM SIGOPS European workshop: Models and paradigms for distributed systems structuring
Elastic Scheduling for Flexible Workload Management
IEEE Transactions on Computers
Cello: A Disk Scheduling Framework for Next Generation Operating Systems*
Real-Time Systems - Flexible Scheduling on Real-Time Systems
Optimal rate-based scheduling on multiprocessors
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Automated discovery of scoped memory regions for real-time Java
Proceedings of the 3rd international symposium on Memory management
IEEE Transactions on Software Engineering
Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Task scheduling and voltage selection for energy minimization
Proceedings of the 39th annual Design Automation Conference
Model composition for scheduling analysis in platform design
Proceedings of the 39th annual Design Automation Conference
Improving energy saving in hard real time systems via a modified dual priority scheduling
ACM SIGARCH Computer Architecture News - Special Issue: PACT 2001 workshops
Congestion prices as feedback signals: an approach to QoS management
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
Increasing appliance autonomy using energy-aware scheduling of Java multimedia applications
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
Design of embedded systems: formal models, validation, and synthesis
Readings in hardware/software co-design
Performance estimation for real-time distributed embedded systems
Readings in hardware/software co-design
Hardware/software co-synthesis with memory hierarchies
Readings in hardware/software co-design
DDSCHED: a distributed dynamic real-time scheduling algorithm
Progress in computer research
A Class of Rate-Based Real-Time Scheduling Algorithms
IEEE Transactions on Computers
Real-Time Concurrency Control in a Multiprocessor Environment
IEEE Transactions on Parallel and Distributed Systems
A scheduling algorithm for transporting variable rate coded voice in bluetooth networks
WOWMOM '02 Proceedings of the 5th ACM international workshop on Wireless mobile multimedia
General perfectly periodic scheduling
Proceedings of the twenty-first annual symposium on Principles of distributed computing
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
Energy aware task scheduling with task synchronization for embedded real time systems
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
Ravenscar-Java: a high integrity profile for real-time Java
JGI '02 Proceedings of the 2002 joint ACM-ISCOPE conference on Java Grande
Scheduling in the situation calculus: A case study
Annals of Mathematics and Artificial Intelligence
Automatic Real-Time Analysis of Reactive Systems with the PARTS Toolset
Automated Software Engineering
Online Prediction of the Running Time of Tasks
Cluster Computing
Scheduling of Time-Triggered Real-Time Systems
Constraints
Off-Line Computation of Real-Time Schedules Using Petri Nets
Discrete Event Dynamic Systems
Concepts for Resource Reservation in Advance
Multimedia Tools and Applications
Rapid Prototyping with Constraints-based Scheduling for Multimedia Applications
Multimedia Tools and Applications
A CORBA Based QOS Support for Distributed Multimedia Applications
Multimedia Tools and Applications
Heterogeneous CPU Services Using Differentiated Admission Control
Multimedia Tools and Applications
Real-time queueing theory: A tutorial presentation with an admission control application
Queueing Systems: Theory and Applications
Threshold-based interventions to optimize performance in preemptive priority queues
Queueing Systems: Theory and Applications
Principles of Built-In-Test for Run-Time-Testability in Component-Based Software Systems
Software Quality Control
Dynamic response time optimization for SDF graphs
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Power optimization of real-time embedded systems on variable speed processors
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Energy efficient real-time scheduling
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Efficient performance estimation for general real-time task systems
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
STARS in VCC: complementing simulation with worst-case analysis
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Journal of High Speed Networks
Evaluation of concurrency control strategies for mixed soft real-time database systems
Information Systems - Databases: Creation, management and utilization
Real-time system with homogeneous servers and nonidentical channels in steady-state
Computers and Operations Research
Strategies for resolving inter-class data conflicts in mixed real-time database systems
Journal of Systems and Software
Maintaining security and timeliness in real-time database system
Journal of Systems and Software
System synthesis of synchronous multimedia applications
ACM Transactions on Embedded Computing Systems (TECS)
Trade-Off Analysis of Real-Time Control Performance and Schedulability*
Real-Time Systems
Feedback–Feedforward Scheduling of Control Tasks
Real-Time Systems
Scheduler Modeling Based on the Controller Synthesis Paradigm
Real-Time Systems
Adaptive Workload Management through Elastic Scheduling
Real-Time Systems
Deadline-based scheduling of periodic task systems on multiprocessors
Information Processing Letters
Dynamic- and Static-priority Scheduling of Recurring Real-time Tasks
Real-Time Systems
Utilization Bounds for Multiprocessor Rate-Monotonic Scheduling
Real-Time Systems
On the Scheduling of Flexible and Reliable Real-Time Control Systems
Real-Time Systems
Utilization-Based Admission Control for Scalable Real-Time Communication
Real-Time Systems
Scheduling of Offset Free Systems
Real-Time Systems
Verification of non-functional properties of a composable architecture with Petri nets
Fundamenta Informaticae
A Scheduling Scheme for Controlling Allocation of CPU Resources for Mobile Programs
Autonomous Agents and Multi-Agent Systems
Wireless Personal Communications: An International Journal
A min, + system theory for constrained traffic regulation and dynamic service guarantees
IEEE/ACM Transactions on Networking (TON)
Securing Systems Against External Programs
IEEE Internet Computing
A Java Kernel for Embedded Systems in Distributed Process Control
IEEE Concurrency
Analyzing the Multimedia Operating System
IEEE MultiMedia
Scheduling for Embedded Real-Time Systems
IEEE Design & Test
Real-Time Computing with IEEE Futurebus+
IEEE Micro
Compiler Support for Object-Oriented Real-Time Software
IEEE Software
Priority Inheritance Protocols: An Approach to Real-Time Synchronization
IEEE Transactions on Computers
A Dynamic Programming Algorithm for Cache Memory Partitioning for Real-Time Systems
IEEE Transactions on Computers
Guaranteeing Synchronous Message Deadlines with the Timed Token Medium Access Control Protocol
IEEE Transactions on Computers
Parametric Dispatching of Hard Real-Time Tasks
IEEE Transactions on Computers
Fixed Priority Scheduling with Limited Priority Levels
IEEE Transactions on Computers
A Reservation-Based Algorithm for Scheduling Both Periodic and Aperiodic Real-Time Tasks
IEEE Transactions on Computers
New Strategies for Assigning Real-Time Tasks to Multiprocessor Systems
IEEE Transactions on Computers
IEEE Transactions on Computers
Distance-Constrained Scheduling and Its Applications to Real-Time Systems
IEEE Transactions on Computers
Pfair Scheduling of Generalized Pinwheel Task Systems
IEEE Transactions on Computers
Timing Constraint Remapping to Achieve Time Equi-Continuity in Distributed Real-Time Systems
IEEE Transactions on Computers
Handling Execution Overruns in Hard Real-Time Control Systems
IEEE Transactions on Computers
Solving the Group Priority Inversion Problem in a Timed Asynchronous System
IEEE Transactions on Computers
Fast Asynchronous Uniform Consensus in Real-Time Distributed Systems
IEEE Transactions on Computers
IEEE Transactions on Computers
Temporal and Real-Time Databases: A Survey
IEEE Transactions on Knowledge and Data Engineering
Multiclass Query Scheduling in Real-Time Database Systems
IEEE Transactions on Knowledge and Data Engineering
Maintaining Temporal Consistency: Pessimistic vs. Optimistic Concurrency Control
IEEE Transactions on Knowledge and Data Engineering
Knowledge Processing in Control Systems
IEEE Transactions on Knowledge and Data Engineering
Resource Scheduling In A High-Performance Multimedia Server
IEEE Transactions on Knowledge and Data Engineering
Real-Time Index Concurrency Control
IEEE Transactions on Knowledge and Data Engineering
MDARTS: A Multiprocessor Database Architecture for Hard Real-Time Systems
IEEE Transactions on Knowledge and Data Engineering
A Study of Concurrency Control in Real-Time, Active Database Systems
IEEE Transactions on Knowledge and Data Engineering
Presentation Planning for Distributed VoD Systems
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Parallel and Distributed Systems
Static Processor Allocation in a Soft Real-Time Multiprocessor Environment
IEEE Transactions on Parallel and Distributed Systems
Real-Time Communication in Multihop Networks
IEEE Transactions on Parallel and Distributed Systems
Deadline Assignment in a Distributed Soft Real-Time System
IEEE Transactions on Parallel and Distributed Systems
Fault-Tolerant Real-Time Communication in Distributed Computing Systems
IEEE Transactions on Parallel and Distributed Systems
On Satisfying Timing Constraints in Hard-Real-Time Systems
IEEE Transactions on Software Engineering
Specification and Analysis of Real-Time Problem Solvers
IEEE Transactions on Software Engineering
Engineering and Analysis of Fixed Priority Schedulers
IEEE Transactions on Software Engineering
Modified Rate-Monotonic Algorithm for Scheduling Periodic Jobs with Deferred Deadlines
IEEE Transactions on Software Engineering
Timing Analysis for Fixed-Priority Scheduling of Hard Real-Time Systems
IEEE Transactions on Software Engineering
Fixed-Priority Sensitivity Analysis for Linear Compute Time Models
IEEE Transactions on Software Engineering
Effective Analysis for Engineering Real-Time Fixed Priority Schedulers
IEEE Transactions on Software Engineering
Guaranteeing Real-Time Requirements With Resource-Based Calibration of Periodic Processes
IEEE Transactions on Software Engineering
Semaphore Queue Priority Assignment for Real-Time Multiprocessor Synchronization
IEEE Transactions on Software Engineering
Adaptive resource management algorithms for periodic tasks in dynamic real-time distributed systems
Journal of Parallel and Distributed Computing
An object-oriented tool for simulating distributed real-time control systems
Software—Practice & Experience
Journal of VLSI Signal Processing Systems
Experimental Analysis of Timing Validation Methods for Distributed Real-Time Systems
The Journal of Supercomputing
Cost-effective streaming server implementation using Hi-tactix
Proceedings of the tenth ACM international conference on Multimedia
An Improved Rate-Monotonic Admission Control and Its Applications
IEEE Transactions on Computers
A Fault-Tolerant Scheduling Algorithm for Real-Time Periodic Tasks with Possible Software Faults
IEEE Transactions on Computers
Realtime Java for telecommunications
Java in telecommunications
A real-time parallel scheduler for the imprecise computation model
Parallel and distributed real-time systems
Real-Time Performance Estimation for Dynamic, Distributed Real-Time Systems
ICCS '02 Proceedings of the International Conference on Computational Science-Part III
A Fast QoS Adaptation Algorithm for MPEG-4 Multimedia Applications
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
Preemptive Multiprocessor Scheduling Anomalies
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
An Efficient Schedulability Analysis Policing Technique for Periodic, Dynamic Real-Time Applications
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
The Impact of Timing on Linearizability in Counting Networks
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Fault-Tolerant Deadline-Monotonic Algorithm for Scheduling Hard-Real-Time Tasks
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
A Prediction-Based Real-Time Scheduling Advisor
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Predictability for Real-Time Command and Control
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Stochastic Analysis of a Reseveration Based System
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Dynamic, Real-Time Testbed for Resource Management Technology
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Supporting Fault-Tolerant Real-Time Applications Using the RED-Linux General Scheduling Framework
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
Discrete Time Stochastic Petri Nets for the Modeling and Evaluation of Real-Time Systems
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Accommodating QoS Prediction in an Adaptive Resource Management Framework
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
An Open Framework for Real-Time Scheduling Simulation
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
Toward a Realization of the Value of Benefit in Real-Time Systems
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
MMNS '02 Proceedings of the 5th IFIP/IEEE International Conference on Management of Multimedia Networks and Services: Management of Multimedia on the Internet
Secure Buffering in Firm Real-Time Database Systems
VLDB '98 Proceedings of the 24rd International Conference on Very Large Data Bases
Scheduling Real-time Transactions: a Performance Evaluation
VLDB '88 Proceedings of the 14th International Conference on Very Large Data Bases
On Maintaining Priorities in a Production Rule System
VLDB '91 Proceedings of the 17th International Conference on Very Large Data Bases
COMPSAC '97 Proceedings of the 21st International Computer Software and Applications Conference
On the Impact of Fast Failure Detectors on Real-Time Fault-Tolerant Systems
DISC '02 Proceedings of the 16th International Conference on Distributed Computing
An Active Real-Time Database Model for Air Traffic Control Systems
ARTDB '97 Proceedings of the Second International Workshop on Active, Real-Time, and Temporal Database Systems
Buffer Management in Active, Real-Time Database Systems - Concepts and an Algorithm
ARTDB '97 Proceedings of the Second International Workshop on Active, Real-Time, and Temporal Database Systems
Duration Calculus, a Logical Approach to Real-Time Systems
AMAST '98 Proceedings of the 7th International Conference on Algebraic Methodology and Software Technology
Keeping a Very Large Website Up-to-date: Some Feasibility Results
EC-WEB '00 Proceedings of the First International Conference on Electronic Commerce and Web Technologies
Integrating CBR and Heuristic Search for Learning and Reusing Solutions in Real-Time Task Scheduling
ICCBR '99 Proceedings of the Third International Conference on Case-Based Reasoning and Development
User Focus in Consumer Terminals and Conditionally Guaranteed Budgets
IWQoS '01 Proceedings of the 9th International Workshop on Quality of Service
Extending a Best-Effort Operating System to Provide QoS Processor Management
IWQoS '01 Proceedings of the 9th International Workshop on Quality of Service
Scheduling System Verification
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
A Period Assignment Algorithm for Real-Time System Design
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
Analyzing Stochastic Fixed-Priority Real-Time Systems
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
Flexibility/Cost-Tradeoffs of Platform-Based Systems
Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation - SAMOS
A Real-Time Agent Architecture: Design, Implementation and Evaluation
Proceedings of the 5th Pacific Rim International Workshop on Multi Agents: Intelligent Agents and Multi-Agent Systems
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Team/Goal-Keeper Coordination in the RoboCup Mid-Size League
RoboCup 2000: Robot Soccer World Cup IV
The Ceiling Protocol in Multi-moded Real-Time Systems
Ada-Europe '99 Proceedings of the 1999 Ada-Europe International Conference on Reliable Software Technologies
An Architectual Perspective of Real-Time Ada Applications
Ada-Europe '99 Proceedings of the 1999 Ada-Europe International Conference on Reliable Software Technologies
Complex Task Implementation in Ada
Ada Europe '01 Proceedings of the 6th Ade-Europe International Conference Leuven on Reliable Software Technologies
Programming Real Time Distributed Multiple Robotic Systems
RoboCup-99: Robot Soccer World Cup III
Optimal Scheduling of Aperiodic Jobs on Cluster
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Empirical Differences between COTS Middleware Scheduling Strategies
On the Move to Meaningful Internet Systems, 2002 - DOA/CoopIS/ODBASE 2002 Confederated International Conferences DOA, CoopIS and ODBASE 2002
Rate-Based Resource Allocation Models for Embedded Systems
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Embedded Software in Network Processors - Models and Algorithms
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Formal Modeling and Analysis of Advanced Scheduling Features in an Avionics RTOS
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Real-Time Virtual Resource: A Timely Abstraction for Embedded Systems
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Scalable Applications for Energy-Aware Processors
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Compositional Modeling in Metropolis
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Time-Safety Checking for Embedded Programs
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Efficient End-Host Resource Management with Kernel Optimizations for Multimedia Applications
ECMAST '99 Proceedings of the 4th European Conference on Multimedia Applications, Services and Techniques
Scheduling Mechanisms for Efficient Implementation of Real-Time Objects
ECOOP '97 Proceedings of the Workshops on Object-Oriented Technology
Reflective ORBs: Supporting Robust, Time-Critical Distribution
ECOOP '97 Proceedings of the Workshops on Object-Oriented Technology
Modeling Real-Time Systems-Challenges and Work Directions
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
A Methodology for the Construction of Scheduled Systems
FTRTFT '00 Proceedings of the 6th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems
Real-Time Operating Systems: Problems and Novel Solutions
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Synthesis of Robust Control Systems under Resource Constraints
HSCC '02 Proceedings of the 5th International Workshop on Hybrid Systems: Computation and Control
Integrated Design and Implementation of Digital Controllers
Computer Aided Systems Theory - EUROCAST 2001-Revised Papers
A Specification Framework for Real-Time Scheduling
SOFSEM '02 Proceedings of the 29th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Software Technologies for Embedded Systems: An Industry Inventory
PROFES '02 Proceedings of the 4th International Conference on Product Focused Software Process Improvement
Improving Wait-Free Algorithms for Interprocess Communication in Embedded Real-Time Systems
ATEC '02 Proceedings of the General Track of the annual conference on USENIX Annual Technical Conference
Enhancing Parallel Multimedia Servers through New Hierarchical Disk Scheduling Algorithms
VECPAR '00 Selected Papers and Invited Talks from the 4th International Conference on Vector and Parallel Processing
Virtual-Time Round-Robin: An O(1) Proportional Share Scheduler
Proceedings of the General Track: 2002 USENIX Annual Technical Conference
Scheduling Hard Sporadic Tasks by Means of Finite Automata and Generating Functions
CIAA '01 Revised Papers from the 6th International Conference on Implementation and Application of Automata
Scheduling of Real-Time Tasks with Complex Constraints
Performance Evaluation: Origins and Directions
Safety Properties Ensured by the OASIS Model for Safety Critical Real-Time Systems
SAFECOMP '98 Proceedings of the 17th International Conference on Computer Safety, Reliability and Security
An Approach to Software Assisted Recovery from Hardware Transient Faults for Real Time Systems
SAFECOMP '00 Proceedings of the 19th International Conference on Computer Safety, Reliability and Security
Evaluation of Search Heuristics for Embedded System Scheduling Problems
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Framework for Real-Time CORBA Development
ICN '01 Proceedings of the First International Conference on Networking-Part 2
Query Integration for Refreshing Web Views
DEXA '01 Proceedings of the 12th International Conference on Database and Expert Systems Applications
Considering Non-real-time Traffic in Real-Time Packet Scheduling
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
Enlarged-Maximum-Scannable-Groups for Real-Time Disk Scheduling in a Multimedia System
COMPSAC '00 24th International Computer Software and Applications Conference
Switched Real-Time Ethernet and Earliest Deadline First Scheduling - Protocols and Traffic Handling
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Resource Scheduling in Enhanced Pay-Per-View Continuous Media Databases
VLDB '97 Proceedings of the 23rd International Conference on Very Large Data Bases
Principles of Real-Time Programming
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
On Control with Bounded Computational Resources
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
A SMART scheduler for multimedia applications
ACM Transactions on Computer Systems (TOCS)
Secure buffering in firm real-time database systems
The VLDB Journal — The International Journal on Very Large Data Bases
Flexibility/cost-tradeoffs of platform-based systems
Embedded processor design challenges
SPI: a system model for heterogeneously specified embedded systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Two-Version Based Concurrency Control and Recovery in Real-Time Client/Server Databases
IEEE Transactions on Computers
A Tool for Analyzing and Fine Tuning the Real-Time Properties of an Embedded System
IEEE Transactions on Software Engineering
MEQA3 - a multi-end QoS application adaptation architecture
Information processing and technology
Automatic derivation of path and loop annotations in object-oriented real-time programs
Engineering of distributed control systems
Software architecture supporting integrated real-time systems
Journal of Systems and Software
A realistic variable voltage scheduling model for real-time applications
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Transformation of SDL specifications for system-level timing analysis
Proceedings of the tenth international symposium on Hardware/software codesign
Hardware-software cosynthesis of multi-mode multi-task embedded systems with real-time constraints
Proceedings of the tenth international symposium on Hardware/software codesign
Pruning-based energy-optimal device scheduling for hard real-time systems
Proceedings of the tenth international symposium on Hardware/software codesign
Real-Time Digital Signal Processing of Phased Array Radars
IEEE Transactions on Parallel and Distributed Systems
Nearly optimal perfectly periodic schedules
Distributed Computing - Special issue: Selected papers from PODC '01
From simulink to SCADE/lustre to TTA: a layered approach for distributed embedded applications
Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems
Power management points in power-aware real-time systems
Power aware computing
Expanding Small Example into Large Scale Real-Time Control System
APSEC '99 Proceedings of the Sixth Asia Pacific Software Engineering Conference
Deadline-monotonic software scheduling for the co-synthesis of parallel hard real-time systems
EDTC '95 Proceedings of the 1995 European conference on Design and Test
Hierarchical Scheduling and Allocation of Multirate Systems on Heterogeneous Multiprocessors
EDTC '97 Proceedings of the 1997 European conference on Design and Test
A framework for interactive analysis of timing constraints in embedded systems
CODES '96 Proceedings of the 4th International Workshop on Hardware/Software Co-Design
Enforcing Schedulability of Multi-Task Systems by Hardware-Software Codesign
CODES '97 Proceedings of the 5th International Workshop on Hardware/Software Co-Design
The complexity of dynamic languages and dynamic optimization problems
STOC '81 Proceedings of the thirteenth annual ACM symposium on Theory of computing
Automating the design of microprocessor-based real time control systems
DAC '76 Proceedings of the 13th Design Automation Conference
Prevention of task overruns in real-time non-preemptive multiprogramming systems
PERFORMANCE '80 Proceedings of the 1980 international symposium on Computer performance modelling, measurement and evaluation
Interprocess communication in real-time systems
SOSP '73 Proceedings of the fourth ACM symposium on Operating system principles
Computer system modelling: A test bed for new software technologies
WSC '74 Proceedings of the 7th conference on Winter simulation - Volume 2
Scheduling Algorithms for Real-Time Agent Systems
RIDE '96 Proceedings of the 6th International Workshop on Research Issues in Data Engineering (RIDE '96) Interoperability of Nontraditional Database Systems
A Cyclic-Executive-Based QoS Guarantee over USB
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Practical Voltage-Scaling for Fixed-Priority RT-Systems
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Real-Time Support for Mobile Robotics
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Template-Based Real-Time Dwell Scheduling with Energy Constraint
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Feedback Control Real-Time Scheduling in ORB Middleware
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Global Multiprocessor Scheduling of Aperiodic Tasks using Time-Independent Priorities
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Virtual deadline assignment in distributed real-time database systems
RTCSA '95 Proceedings of the 2nd International Workshop on Real-Time Computing Systems and Applications
Object-oriented design of main-memory DBMS for real-time applications
RTCSA '95 Proceedings of the 2nd International Workshop on Real-Time Computing Systems and Applications
The impact of extrinsic cache performance on predictability of real-time systems
RTCSA '95 Proceedings of the 2nd International Workshop on Real-Time Computing Systems and Applications
Real-time communication in plant-monitoring/controlling systems with ATM networks
RTCSA '95 Proceedings of the 2nd International Workshop on Real-Time Computing Systems and Applications
A soft aperiodic task scheduling algorithm in dynamic-priority systems
RTCSA '95 Proceedings of the 2nd International Workshop on Real-Time Computing Systems and Applications
Real-time scheduling of tasks that contain the external blocking intervals
RTCSA '95 Proceedings of the 2nd International Workshop on Real-Time Computing Systems and Applications
A generalized utilization bound test for fixed-priority real-time scheduling
RTCSA '95 Proceedings of the 2nd International Workshop on Real-Time Computing Systems and Applications
Simulation of /spl nu/-NET, a versatile network architecture for real-time and non-real-time traffic
SS '96 Proceedings of the 29th Annual Simulation Symposium (SS '96)
A Generic Simulator of Real-Time Scheduling Algorithms
SS '96 Proceedings of the 29th Annual Simulation Symposium (SS '96)
Using Randomized Rounding to Satisfy Timing Constraints of Real-Time Preemptive Tasks
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Timing analysis of industrial real-time systems
WIFT '95 Proceedings of the 1st Workshop on Industrial-Strength Formal Specification Techniques
Value-Driven Resource Assignment in Object-Oriented Real-Time Dependable Systems
WORDS '97 Proceedings of the 3rd Workshop on Object-Oriented Real-Time Dependable Systems - (WORDS '97)
Real-Time Behaviour in a Heterogeneous Environment?
WORDS '97 Proceedings of the 3rd Workshop on Object-Oriented Real-Time Dependable Systems - (WORDS '97)
A Model for a Flexible and Predictable Object-Oriented Real-Time System
WORDS '97 Proceedings of the 3rd Workshop on Object-Oriented Real-Time Dependable Systems - (WORDS '97)
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Efficient Admission Control for EDF Schedulers
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Supporting time-sensitive applications on a commodity OS
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
A theory of multi-channel schedulers for quality of service
Journal of High Speed Networks
Predictive Strategies for Low-Power RTOS Scheduling
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
Rate-monotonic scheduling on uniform multiprocessors
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Energy-Efficient Real-Time Scheduling in IEEE 802.11 Wireless LANs
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Utilization-Based Admission Control for Real-Time Applications
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Modeling Multicomputer Task Allocation as a Vector Packing Problem
ISSS '96 Proceedings of the 9th international symposium on System synthesis
ISSS '96 Proceedings of the 9th international symposium on System synthesis
The use of a virtual instruction set for the software synthesis of Hw/Sw embedded systems
ISSS '96 Proceedings of the 9th international symposium on System synthesis
Path-Based Edge Activation for Dynamic Run-Time Scheduling
Proceedings of the 12th international symposium on System synthesis
Real-Time Task Scheduling for a Variable Voltage Processor
Proceedings of the 12th international symposium on System synthesis
System Synthesis of Synchronous Multimedia Applications
Proceedings of the 12th international symposium on System synthesis
Virtual simple architecture (VISA): exceeding the complexity limit in safe real-time systems
Proceedings of the 30th annual international symposium on Computer architecture
Response Time Analysis of Asynchronous Real-Time Systems
Real-Time Systems
Multicycle Polling Scheduling Algorithms for FieldBus Networks
Real-Time Systems
Priority-Driven Scheduling of Periodic Task Systems on Multiprocessors
Real-Time Systems
Reactive and Real-Time Systems Course: How to Get the Most Out of it
Real-Time Systems
Multiprocessor Preprocessing Algorithms for Uniprocessor On-Line Scheduling
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
An Efficient RMS Admission Control and its Application to Multiprocessor Scheduling
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
UML for real
Schedulability analysis with UML
UML for real
The estimation of the WCET in super-scalar real-time system
Real-time system security
Rate-Monotonic Scheduling on Uniform Multiprocessors
IEEE Transactions on Computers
Rate Monotonic Analysis: The Hyperbolic Bound
IEEE Transactions on Computers
A Nonpreemptive Real-Time Scheduler with Recovery from Transient Faults and Its Implementation
IEEE Transactions on Software Engineering
Efficient Online Schedulability Tests for Real-Time Systems
IEEE Transactions on Software Engineering
On Inspection and Verification of Software with Timing Requirements
IEEE Transactions on Software Engineering
Dynamic class-based queue management for scalable media servers
Journal of Systems and Software
Variable voltage task scheduling algorithms for minimizing energy/power
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Robustness Results Concerning EDF Scheduling upon Uniform Multiprocessors
IEEE Transactions on Computers
Pareto-optimization-based run-time task scheduling for embedded systems
Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Energy-efficient soft real-time CPU scheduling for mobile multimedia systems
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
Configuration-level hardware/software partitioning for real-time embedded systems
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
TigerSwitch: a case study in embedded computing system design
CODES '94 Proceedings of the 3rd international workshop on Hardware/software co-design
Maximizing rewards for real-time applications with energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
Embedded Software Engineering: The State of the Practice
IEEE Software
Partitioning of embedded applications onto heterogeneous multiprocessor architectures
Proceedings of the 2003 ACM symposium on Applied computing
An efficient time representation for real-time embedded systems
Proceedings of the 2003 ACM symposium on Applied computing
Disk Scheduling onMultimedia Storage Servers
IEEE Transactions on Computers
Scheduling Real-Time Dwells Using Tasks with Synthetic Periods
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Response Time Analysis for Tasks Scheduled under EDF within Fixed Priorities
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Scheduling Analysis Integration for Heterogeneous Multiprocessor SoC
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Addressing Timeliness/Accuracy/Cost Tradeoffs in Information Collection for Dynamic Environments
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
FAST: Frequency-Aware Static Timing Analysis
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
A Dynamic Voltage Scaling Algorithm for Sporadic Tasks
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Dynamic Integrated Scheduling of Hard Real-Time, Soft Real-Time and Non-Real-Time Processes
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Periodic Resource Model for Compositional Real-Time Guarantees
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Multiprocessor EDF and Deadline Monotonic Schedulability Analysis
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Adaptive disk scheduling in a multimedia DBMS
MULTIMEDIA '03 Proceedings of the eleventh ACM international conference on Multimedia
A network architecture for providing per-flow delay guarantees with scalable core
Journal of High Speed Networks
Reduced energy decoding of MPEG streams
Multimedia Systems
The Interplay of Power Management and Fault Recovery in Real-Time Systems
IEEE Transactions on Computers
Enhanced Utilization Bounds for QoS Management
IEEE Transactions on Computers
Dispatching in perfectly-periodic schedules
Journal of Algorithms
IEEE Transactions on Parallel and Distributed Systems
A modified dual-priority scheduling algorithm for hard real-time systems to improve energy savings
Compilers and operating systems for low power
Mathematics and Computers in Simulation
Best-Case Response Times and Jitter Analysis of Real-Time Tasks
Journal of Scheduling
Journal of Systems and Software
Minimal schedulability interval for real-time systems of periodic tasks with offsets
Theoretical Computer Science
A hardware/software kernel for system on chip designs
Proceedings of the 2004 ACM symposium on Applied computing
A cost-oriented approach for infrastructural design
Proceedings of the 2004 ACM symposium on Applied computing
A Utilization Bound for Aperiodic Tasks and Priority Driven Scheduling
IEEE Transactions on Computers
Workload Characterization Model for Tasks with Variable Execution Demand
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Timing Analysis for Preemptive Multi-Tasking Real-Time Systems with Caches
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Mode Change Protocols for Real-Time Systems: A Survey and a New Proposal
Real-Time Systems
Scheduling algorithms based on weakly hard real-time constraints
Journal of Computer Science and Technology
Timed State Space Analysis of Real-Time Preemptive Systems
IEEE Transactions on Software Engineering
Building adaptive distributed applications with middleware and aspects
Proceedings of the 3rd international conference on Aspect-oriented software development
An architecture to support cooperating mobile embedded systems
Proceedings of the 1st conference on Computing frontiers
Power-Aware Scheduling for Periodic Real-Time Tasks
IEEE Transactions on Computers
Deriving Deadlines and Periods for Real-Time Update Transactions
IEEE Transactions on Computers
Scalable Multimedia Disk Scheduling
ICDE '04 Proceedings of the 20th International Conference on Data Engineering
Improving resource utilization for MPEG decoding in embedded end-devices
ACSC '04 Proceedings of the 27th Australasian conference on Computer science - Volume 26
Windows scheduling as a restricted version of Bin Packing
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Minimizing migrations in fair multiprocessor scheduling of persistent tasks
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Scheduling hard sporadic tasks with regular languages and generating functions
Theoretical Computer Science - Implementation and application automata
IEEE/ACM Transactions on Networking (TON)
Dynamic Window-Constrained Scheduling of Real-Time Streams in Media Servers
IEEE Transactions on Computers
IEEE Transactions on Computers
A Model for Updating Real-Time Applications
Real-Time Systems
Resource Reservation in Dynamic Real-Time Systems
Real-Time Systems
A Task Model to Reduce Control Delays
Real-Time Systems
Genetic-algorithm-based real-time task scheduling with multiple goals
Journal of Systems and Software - Special issue: Computer systems
Fault-tolerant scheduling for real-time embedded control systems
Journal of Computer Science and Technology
Adaptive scheduling server for power-aware real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Blocking-aware processor voltage scheduling for real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Guide for the use of the Ada Ravenscar Profile in high integrity systems
ACM SIGAda Ada Letters
Dynamic voltage scaling for real-time multi-task scheduling using buffers
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Compositional static instruction cache simulation
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Minimum and Maximum Utilization Bounds for Multiprocessor Rate Monotonic Scheduling
IEEE Transactions on Parallel and Distributed Systems
Proceedings of the 26th International Conference on Software Engineering
Specification and Timing Analysis of Real-Time Systems
Real-Time Systems
Timing analysis of compound scheduling policies: application to posix1003.1b
Journal of Scheduling
Dynamic Platform Management for Configurable Platform-Based System-on-Chips
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Tiger shark: a scalable file system for multimedia
IBM Journal of Research and Development - Papers on mustimedia systems
SBCCI '04 Proceedings of the 17th symposium on Integrated circuits and system design
CPU scheduling for statistically-assured real-time performance and improved energy efficiency
Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Using resource reservation techniques for power-aware scheduling
Proceedings of the 4th ACM international conference on Embedded software
Model based estimation and verification of mobile device performance
Proceedings of the 4th ACM international conference on Embedded software
Energy-efficient, utility accrual scheduling under resource constraints for mobile embedded systems
Proceedings of the 4th ACM international conference on Embedded software
WRR-SCAN: a rate-based real-time disk-scheduling algorithm
Proceedings of the 4th ACM international conference on Embedded software
A typed assembly language for real-time programs
Proceedings of the 4th ACM international conference on Embedded software
IEEE Transactions on Software Engineering
Feasibility analysis of hard real-time periodic tasks
Journal of Systems and Software - Special issue: Performance modeling and analysis of computer systems and networks
Formal Methods for Integration of Automotive Software
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - Volume 2
Intraprogram dynamic voltage scaling: Bounding opportunities with analytic modeling
ACM Transactions on Architecture and Code Optimization (TACO)
Safely exploiting multithreaded processors to tolerate memory latency in real-time systems
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Energy-efficient dual-voltage soft real-time system with (m,k)-firm deadline guarantee
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Reducing both dynamic and leakage energy consumption for hard real-time systems
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Schedulability Analysis of Periodic Fixed Priority Systems
IEEE Transactions on Computers
VERTAF: An Application Framework for the Design and Verification of Embedded Real-Time Software
IEEE Transactions on Software Engineering
Data-Mining Synthesised Schedulers for Hard Real-Time Systems
Proceedings of the 19th IEEE international conference on Automated software engineering
Worst-Case Response Time Analysis for OSEK/VDX Compliant Real-Time Distributed Control Systems
COMPSAC '04 Proceedings of the 28th Annual International Computer Software and Applications Conference - Volume 01
A Reliable OS Kernel for Smart Sensors
COMPSAC '04 Proceedings of the 28th Annual International Computer Software and Applications Conference - Volume 01
Schedulability analysis of applications with stochastic task execution times
ACM Transactions on Embedded Computing Systems (TECS)
Real-time garbage collection for flash-memory storage systems of real-time embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Real Time Scheduling Theory: A Historical Perspective
Real-Time Systems
Real-Time Databases and Data Services
Real-Time Systems
Interval-based analysis in embedded system design
Mathematics and Computers in Simulation - Special issue: Selected papers from the 4th IMACS symposium on mathematical modelling (4th MATHMOD)
Scheduling Queries to Improve the Freshness of a Website
World Wide Web
A method to prove non-reachability in priority duration Petri nets
Fundamenta Informaticae
Node delay assignment strategies to support end-to-end delay requirements in heterogeneous networks
IEEE/ACM Transactions on Networking (TON)
Cheddar: a flexible real time scheduling framework
Proceedings of the 2004 annual ACM SIGAda international conference on Ada: The engineering of correct and reliable software for real-time & distributed systems using Ada and related technologies
Real-Time Dwell Scheduling of Component-Oriented Phased Array Radars
IEEE Transactions on Computers
Task Synchronization in Reservation-Based Real-Time Systems
IEEE Transactions on Computers
Rate monotonic vs. EDF: judgment day
Real-Time Systems
On the dynamic semantics and the timing behavior of Ravenscar kernels
Real-Time Systems
Efficient Reclaiming in Reservation-Based Real-Time Systems with Variable Execution Times
IEEE Transactions on Computers
Disk scheduling in a multimedia I/O system
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Energy-Efficient, Utility Accrual Real-Time Scheduling Under the Unimodal Arbitrary Arrival Model
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Efficient Feasibility Analysis for Real-Time Systems with EDF Scheduling
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Introducing Flexible Quantity Contracts into Distributed SoC and Embedded System Design Processes
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Empowering configurable QoS management in real-time systems
Proceedings of the 4th international conference on Aspect-oriented software development
Periodic Linear Programming with applications to real-time scheduling
Mathematical Structures in Computer Science
Schedulability-driven frame packing for multicluster distributed embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Pruning-based, energy-optimal, deterministic I/O device scheduling for hard real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Adaptive Resource Utilization via Feedback Control for Streaming Applications
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Desynchronized Pfair Scheduling on Multiprocessors
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Preemptive Behavior Analysis and Improvement of Priority Scheduling Algorithms
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 2 - Volume 03
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 2 - Volume 03
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 2 - Volume 03
Dynamic Task Scheduling with Security Awareness in Real-Time Systems
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 15 - Volume 16
Supporting time-sensitive applications on a commodity OS
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
A model of real time control system production
ACM SIGDA Newsletter
Scheduling legacy multimedia applications
Journal of Systems and Software - Special issue: Adaptive multimedia computing
Real-Time Systems
Feasibility Analysis of Real-Time Periodic Tasks with Offsets
Real-Time Systems
Measuring the Performance of Schedulability Tests
Real-Time Systems
QoS Management Through Adaptive Reservations
Real-Time Systems
Global Control of Robotic Highway Safety Markers: A Real-time Solution
Real-Time Systems
Solution of The Liu-Layland Problem Via Bottleneck Just-In-Time Sequencing
Journal of Scheduling
A generic approach to schedulability analysis of real-time tasks
Nordic Journal of Computing
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Feedback Utilization Control in Distributed Real-Time Systems with End-to-End Tasks
IEEE Transactions on Parallel and Distributed Systems
An Analysis of EDF Schedulability on a Multiprocessor
IEEE Transactions on Parallel and Distributed Systems
A Style-Aware Architectural Middleware for Resource-Constrained, Distributed Systems
IEEE Transactions on Software Engineering
Automatic generation of layered queuing software performance models from commonly available traces
Proceedings of the 5th international workshop on Software and performance
Robust scheduling in team-robotics
Journal of Systems and Software - Special issue: Parallel and distributed real-time systems
Windows scheduling of arbitrary length jobs on parallel machines
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
IEEE Transactions on Computers
A constraint-based solution for on-line testing of processors embedded in real-time applications
SBCCI '05 Proceedings of the 18th annual symposium on Integrated circuits and system design
Modeling nonfunctional requirements: a basis for dynamic systems management
SEAS '05 Proceedings of the second international workshop on Software engineering for automotive systems
Issues in performance certification for high-level automotive control software
SEAS '05 Proceedings of the second international workshop on Software engineering for automotive systems
Systems Support for Preemptive Disk Scheduling
IEEE Transactions on Computers
Proceedings of the 5th ACM international conference on Embedded software
Proceedings of the 5th ACM international conference on Embedded software
Dual face phased array radar scheduling with multiple constraints
Proceedings of the 5th ACM international conference on Embedded software
Energy aware kernel for hard real-time systems
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Virtual multiprocessor: an analyzable, high-performance architecture for real-time computing
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
An overview of embedded system design education at berkeley
ACM Transactions on Embedded Computing Systems (TECS)
A least upper bound on the fault tolerance of real-time systems
Journal of Systems and Software
PARM: a power-aware message scheduling algorithm for real-time wireless networks
WMuNeP '05 Proceedings of the 1st ACM workshop on Wireless multimedia networking and performance modeling
Optimal Preemptive Scheduling in Multiprocessor Systems with Incomplete Communication Graph
Cybernetics and Systems Analysis
Wireless real-time on-demand data broadcast scheduling with dual deadlines
Journal of Parallel and Distributed Computing
Design and Implementation of Semi-preemptible IO
FAST '03 Proceedings of the 2nd USENIX Conference on File and Storage Technologies
An Exact Stochastic Analysis of Priority-Driven Periodic Real-Time Systems and Its Approximations
IEEE Transactions on Computers
The Granularity Metric for Fine-Grain Real-Time Scheduling
IEEE Transactions on Computers
A Technique for Adaptive Scheduling of Soft Real-Time Tasks
Real-Time Systems
Energy aware memory architecture configuration
MEDEA '04 Proceedings of the 2004 workshop on MEmory performance: DEaling with Applications , systems and architecture
Scheduling and memory requirements analysis with AADL
Proceedings of the 2005 annual ACM SIGAda international conference on Ada: The Engineering of Correct and Reliable Software for Real-Time & Distributed Systems using Ada and Related Technologies
VSched: Mixing Batch And Interactive Virtual Machines Using Periodic Real-time Scheduling
SC '05 Proceedings of the 2005 ACM/IEEE conference on Supercomputing
Time-Critical On-Demand Data Broadcast: Algorithms, Analysis, and Performance Evaluation
IEEE Transactions on Parallel and Distributed Systems
A slot swapping protocol for time-critical internetworking
Journal of Systems Architecture: the EUROMICRO Journal
Feedback Scheduling of Power-Aware Soft Real-Time Tasks
ENC '05 Proceedings of the Sixth Mexican International Conference on Computer Science
EURASIP Journal on Wireless Communications and Networking
An Analysis of Fixed-Priority Schedulability on a Multiprocessor
Real-Time Systems
Real-Time Systems
The Non-preemptive Scheduling of Periodic Tasks upon Multiprocessors
Real-Time Systems
Low-power techniques for network security processors
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Abstracting functionality for modular performance analysis of hard real-time systems
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A dynamic voltage scaling algorithm for energy reduction in hard real-time systems
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Adaptive fuzzy control scheduling of window-constrained real-time systems
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A dynamic-mode DVS algorithm under dynamic workloads
ACM SIGBED Review - Special issue: IEEE RTAS 2005 work-in-progress
HW/SW partitioning techniques for multi-mode multi-task embedded applications
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Dynamic voltage scaling for multitasking real-time systems with uncertain execution time
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
IEEE Transactions on Computers
Dynamic voltage scaling for the schedulability of jitter-constrained real-time embedded systems
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Affinity-Driven System Design Exploration for Heterogeneous Multiprocessor SoC
IEEE Transactions on Computers
How OEMs and suppliers can face the network integration challenges
Proceedings of the conference on Design, automation and test in Europe: Designers' forum
Online energy-aware I/O device scheduling for hard real-time systems
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Locking under Pfair scheduling
ACM Transactions on Computer Systems (TOCS)
Minimizing migrations in fair multiprocessor scheduling of persistent tasks
Journal of Scheduling
FAST: Frequency-aware static timing analysis
ACM Transactions on Embedded Computing Systems (TECS)
Virtual private machines: user-centric performance
Proceedings of the 11th workshop on ACM SIGOPS European workshop
Effective thread management on network processors with compiler analysis
Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems
An EDF schedulability test for periodic tasks on reconfigurable hardware devices
Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems
Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor
Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems
Supporting lock-free synchronization in Pfair-scheduled real-time systems
Journal of Parallel and Distributed Computing
Joint optimization of hardware and network systems
Journal of Parallel and Distributed Computing
A precise schedulability test algorithm for scheduling periodic tasks in real-time systems
Proceedings of the 2006 ACM symposium on Applied computing
Event-driven scheduling for dynamic workload scaling in uniprocessor embedded systems
Proceedings of the 2006 ACM symposium on Applied computing
Verification of a scheduler in B through a timed automata specification
Proceedings of the 2006 ACM symposium on Applied computing
Analysis and optimization of distributed real-time embedded systems
Proceedings of the 41st annual Design Automation Conference
A Method for Performance Analysis of Earliest-Deadline-First Scheduling Policy
The Journal of Supercomputing
Scheduling real-time requests in on-demand data broadcast environments
Real-Time Systems
Energy-efficient CPU scheduling for multimedia applications
ACM Transactions on Computer Systems (TOCS)
Optimal priority assignment in the presence of blocking
Information Processing Letters
Component-Oriented Radars with Probabilistic Timing Guarantees
IEEE Transactions on Parallel and Distributed Systems
Scheduling Security-Critical Real-Time Applications on Clusters
IEEE Transactions on Computers
The Partitioned Multiprocessor Scheduling of Deadline-Constrained Sporadic Task Systems
IEEE Transactions on Computers
Resource Allocation Framework for Distributed Real-Time End-To-End Tasks
ICPADS '06 Proceedings of the 12th International Conference on Parallel and Distributed Systems - Volume 1
Feedback Control Architecture and Design Methodology for Service Delay Guarantees in Web Servers
IEEE Transactions on Parallel and Distributed Systems
Artifacts for time-aware agents
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
Research trends in real-time computing for embedded systems
ACM SIGBED Review - Special issue on major international initiatives on real-time and embedded systems
Adaptive resource management for dynamic distributed real-time applications
The Journal of Supercomputing
A HW/SW Partitioner for Multi-Mode Multi-Task Embedded Applications
Journal of VLSI Signal Processing Systems
Energy-efficient, utility accrual scheduling under resource constraints for mobile embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Automatic rate desynchronization of embedded reactive programs
ACM Transactions on Embedded Computing Systems (TECS)
Integrating schedulability analysis with UML-RT
Control and Intelligent Systems
A fuzzy algorithm for scheduling periodic tasks on multiprocessor soft real-time systems
MS'06 Proceedings of the 17th IASTED international conference on Modelling and simulation
An execution overrun management mechanism for the temporal robustness of Java real-time systems
JTRES '06 Proceedings of the 4th international workshop on Java technologies for real-time and embedded systems
A heuristic-based real-time disk scheduling algorithm for mixed-media workload
IMSA'06 Proceedings of the 24th IASTED international conference on Internet and multimedia systems and applications
Design space exploration of real-time multi-media MPSoCs with heterogeneous scheduling policies
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
Battery discharge aware energy feasibility analysis
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
Optimal rate-based scheduling on multiprocessors
Journal of Computer and System Sciences
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Incremental schedulability analysis of hierarchical real-time components
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Analysis of window-constrained execution time systems
Real-Time Systems
Quality-of-Control Management in Overloaded Real-Time Systems
IEEE Transactions on Computers
Timing analysis for preemptive multitasking real-time systems with caches
ACM Transactions on Embedded Computing Systems (TECS)
A faster exact schedulability analysis for fixed-priority scheduling
Journal of Systems and Software
A non-preemptive scheduling algorithm for soft real-time systems
Computers and Electrical Engineering
Workload correlations in multi-processor hard real-time systems
Journal of Computer and System Sciences
Exact admission-control for integrated aperiodic and periodic tasks
Journal of Computer and System Sciences
Combining competitive scheme with slack neurons to solve real-time job scheduling problem
Expert Systems with Applications: An International Journal
GSR: A global seek-optimizing real-time disk-scheduling algorithm
Journal of Systems and Software
Extreme Runtimes of Schedules Modelled by Time Petri Nets
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2004)
Proceedings of the 38th SIGCSE technical symposium on Computer science education
Practical algorithms for scheduling video data in a local area network environment
The Journal of Supercomputing
Scheduling for time-division based shared channel allocation for UMTS
Wireless Networks
Allocation cost minimization for periodic hard real-time tasks in energy-constrained DVS systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Online task-scheduling for fault-tolerant low-energy real-time systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Multiprocessor fixed-priority scheduling with restricted interprocessor migrations
Journal of Embedded Computing - Real-Time Systems (Euromicro RTS-03)
Control-scheduling codesign of real-time systems: The control server approach
Journal of Embedded Computing - Real-Time Systems (Euromicro RTS-03)
Response time analysis of EDF distributed real-time systems
Journal of Embedded Computing - Real-Time Systems (Euromicro RTS-03)
A class-based approach to the composition of real-time software components
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Aspects and components in real-time system development: Towards reconfigurable and reusable software
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Deterministic and statistical admission control for QoS-Aware embedded systems
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Comparative analysis of aperiodic server approaches for real-time garbage collection
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Bounded energy allocation and scheduling for real-time Embedded Systems
Journal of Embedded Computing - Real-Time and Embedded Computing Systems
Adapting Pfair scheduling for symmetric multiprocessors
Journal of Embedded Computing - Cache exploitation in embedded systems
Jitter-approximation tradeoff for periodic scheduling
Wireless Networks
Design and performance analysis of the Real-Time HCCA scheduler for IEEE 802.11e WLANs
Computer Networks: The International Journal of Computer and Telecommunications Networking
An efficient packet scheduling algorithm with deadline guarantees for input-queued switches
IEEE/ACM Transactions on Networking (TON)
Proceedings of the 2007 ACM symposium on Applied computing
A priority assignment strategy of processing elements over an on-chip bus
Proceedings of the 2007 ACM symposium on Applied computing
Online resource management in a multiprocessor with a network-on-chip
Proceedings of the 2007 ACM symposium on Applied computing
FC-ORB: A robust distributed real-time embedded middleware with end-to-end utilization control
Journal of Systems and Software
Resource management for real-time tasks in mobile robotics
Journal of Systems and Software
Characterizing robustness in dynamic real-time systems
Journal of Systems and Software
Evolutionary Function Approximation for Reinforcement Learning
The Journal of Machine Learning Research
PBS: a unified priority-based scheduler
Proceedings of the 2007 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Utility Accrual Real-Time Scheduling under Variable Cost Functions
IEEE Transactions on Computers
Timeliness-Accuracy Balanced Collection of Dynamic Context Data
IEEE Transactions on Parallel and Distributed Systems
Mobile Element Scheduling with Dynamic Deadlines
IEEE Transactions on Mobile Computing
Schedulable garbage collection in CLI virtual execution system
Real-Time Systems
Garbage collection-aware utility accrual scheduling
Real-Time Systems
The partitioned dynamic-priority scheduling of sporadic task systems
Real-Time Systems
Proceedings of the conference on Design, automation and test in Europe
Workstation support for real-time multimedia communication
WTEC'94 Proceedings of the USENIX Winter 1994 Technical Conference on USENIX Winter 1994 Technical Conference
Vassal: loadable scheduler support for multi-policy scheduling
WINSYM'98 Proceedings of the 2nd conference on USENIX Windows NT Symposium - Volume 2
NT'97 Proceedings of the USENIX Windows NT Workshop on The USENIX Windows NT Workshop 1997
Deterministic execution of java's primitive bytecode operations
JVM'01 Proceedings of the 2001 Symposium on JavaTM Virtual Machine Research and Technology Symposium - Volume 1
DEUCON: Decentralized End-to-End Utilization Control for Distributed Real-Time Systems
IEEE Transactions on Parallel and Distributed Systems
Feedback fuzzy-DVS scheduling of control tasks
The Journal of Supercomputing
Windows scheduling as a restricted version of bin packing
ACM Transactions on Algorithms (TALG)
Optimal (D- J)-monotonic priority assignment
Information Processing Letters
The implementation of the Priority Ceiling Protocol in Ada-2005
ACM SIGAda Ada Letters
Scalable precision cache analysis for real-time software
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Robust implicit EDF: A wireless MAC protocol for collaborative real-time systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Energy efficient DVS schedule for fixed-priority real-time systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Automatic Verification of Bossa Scheduler Properties
Electronic Notes in Theoretical Computer Science (ENTCS)
Guaranteeing hard real-time traffic constraints with ATM networks
Integrated Computer-Aided Engineering
Toward Automatic Synthesis of Schedulable Real-Time Controllers
Integrated Computer-Aided Engineering
Improving security for periodic tasks in embedded systems through scheduling
ACM Transactions on Embedded Computing Systems (TECS)
On new scheduling policy for the improvement of firm RTDBSs performances
Data & Knowledge Engineering
Automotive software integration
Proceedings of the 44th annual Design Automation Conference
Energy-aware scheduling for real-time multiprocessor systems with uncertain task execution time
Proceedings of the 44th annual Design Automation Conference
Overrun handling approaches for overload-prone soft real-time systems
Advances in Engineering Software
Stream combination: adaptive IO scheduling for streaming servers
ACM SIGBED Review - Special issue: The work-in-progress (WIP) session of the RTSS 2005
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
The user in experimental computer systems research
Proceedings of the 2007 workshop on Experimental computer science
Empirical performance assessment using soft-core processors on reconfigurable hardware
Proceedings of the 2007 workshop on Experimental computer science
Bid based scheduler with backfilling for a multiprocessor system
Proceedings of the ninth international conference on Electronic commerce
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
The embedded machine: Predictable, portable real-time code
ACM Transactions on Programming Languages and Systems (TOPLAS)
The user in experimental computer systems research
ecs'07 Experimental computer science on Experimental computer science
Empirical performance assessment using soft-core processors on reconfigurable hardware
ecs'07 Experimental computer science on Experimental computer science
Real-time implications of multiple transmission rates in wireless networks
Proceedings of the 13th annual ACM international conference on Mobile computing and networking
Garbage collection for safety critical Java
JTRES '07 Proceedings of the 5th international workshop on Java technologies for real-time and embedded systems
Improved response time analysis of tasks scheduled under preemptive Round-Robin
CODES+ISSS '07 Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Virtual execution of AADL models via a translation into synchronous programs
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
Performance estimation of distributed real-time embedded systems by discrete event simulations
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
Real-time task scheduling with fuzzy uncertainty in processing times and deadlines
Applied Soft Computing
The Journal of Supercomputing
Rate monotonic schedulability tests using period-dependent conditions
Real-Time Systems
ERLANG '07 Proceedings of the 2007 SIGPLAN workshop on ERLANG Workshop
Management in telecom environments that are based on active networks
Journal of High Speed Networks
A deadline-based algorithm for dynamic task scheduling with precedence constraints
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
Towards Scheduling Virtual Machines Based On Direct User Input
VTDC '06 Proceedings of the 2nd International Workshop on Virtualization Technology in Distributed Computing
Job Scheduling in a Single-Node Hierarchical Network for Process Control
IEEE Transactions on Computers
Utility Accrual Real-Time Scheduling Under the Unimodal Arbitrary Arrival Model with Energy Bounds
IEEE Transactions on Computers
Exact Fault-Sensitive Feasibility Analysis of Real-Time Tasks
IEEE Transactions on Computers
Real-Time Management of Hardware and Software Tasks for FPGA-based Embedded Systems
IEEE Transactions on Computers
AADL modeling and analysis of hierarchical schedulers
Proceedings of the 2007 ACM international conference on SIGAda annual international conference
Journal of Systems and Software
WCET free time analysis of hard real-time systems on multiprocessors: A regular language-based model
Theoretical Computer Science
Uniprocessor scheduling under precedence constraints for embedded systems design
ACM Transactions on Embedded Computing Systems (TECS)
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Expert Systems with Applications: An International Journal
ACM Transactions on Embedded Computing Systems (TECS)
Semantics-preserving multitask implementation of synchronous programs
ACM Transactions on Embedded Computing Systems (TECS)
A design framework for real-time embedded systems with code size and energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
ACM Transactions on Embedded Computing Systems (TECS)
Minimum Deadline Calculation for Periodic Real-Time Tasks in Dynamic Priority Systems
IEEE Transactions on Computers
Tardiness bounds under global EDF scheduling on a multiprocessor
Real-Time Systems
Deadline-based scheduling in support of real-time data delivery
Computer Networks: The International Journal of Computer and Telecommunications Networking
CyNC: a MATLAB/SimuLink toolbox for network calculus
Proceedings of the 2nd international conference on Performance evaluation methodologies and tools
Distributed real time database systems: background and literature review
Distributed and Parallel Databases
Compositional real-time scheduling framework with periodic model
ACM Transactions on Embedded Computing Systems (TECS)
Schedulability Criteria and Analysis for Dynamic and Flexible Resource Management
Electronic Notes in Theoretical Computer Science (ENTCS)
Sensitivity analysis of complex embedded real-time systems
Real-Time Systems
Sensitivity analysis for fixed-priority real-time systems
Real-Time Systems
Computer Languages, Systems and Structures
Efficient guaranteed disk request scheduling with fahrrad
Proceedings of the 3rd ACM SIGOPS/EuroSys European Conference on Computer Systems 2008
Deadline-constrained media uploading systems
Multimedia Tools and Applications
Real-time scheduling with quality of security constraints
International Journal of High Performance Computing and Networking
Integrating job parallelism in real-time scheduling theory
Information Processing Letters
An energy-efficient real-time scheduling scheme on dual-channel networks
Information Sciences: an International Journal
The utilization bound of uniprocessor preemptive slack-monotonic scheduling is 50%
Proceedings of the 2008 ACM symposium on Applied computing
Evaluation of priority based real time scheduling algorithms: choices and tradeoffs
Proceedings of the 2008 ACM symposium on Applied computing
A behavior priority driven approach for resource reservation scheduling
Proceedings of the 2008 ACM symposium on Applied computing
Real-time analysis of resource-constrained distributed systems by simulation-guided model checking
ACM SIGBED Review - Special issue on the RTSS forum on deeply embedded real-time computing
Worst-case execution time analysis of security policies for deeply embedded real-time systems
ACM SIGBED Review - Special issue on the RTSS forum on deeply embedded real-time computing
A dynamic scheduler for real-time periodic tasks with quality of service requirements
AIC'06 Proceedings of the 6th WSEAS International Conference on Applied Informatics and Communications
Certain investigations on energy saving techniques using DVS for low power embedded system
AIC'06 Proceedings of the 6th WSEAS International Conference on Applied Informatics and Communications
Avoiding timing channels in fixed-priority schedulers
Proceedings of the 2008 ACM symposium on Information, computer and communications security
Priority assignment heuristic to cohorts executing in parallel
ICCOMP'05 Proceedings of the 9th WSEAS International Conference on Computers
Analyzing composability of applications on MPSoC platforms
Journal of Systems Architecture: the EUROMICRO Journal
Visions for application development on hybrid computing systems
Parallel Computing
Journal of Systems and Software
SIGMETRICS '08 Proceedings of the 2008 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Schedulability analysis of global EDF
Real-Time Systems
Analysis and optimisation of hierarchically scheduled multiprocessor embedded systems
International Journal of Parallel Programming - Special Issue on Multiprocessor-based embedded systems
ISTASC'06 Proceedings of the 6th WSEAS International Conference on Systems Theory & Scientific Computation
Implementing fault-tolerance in real-time programs by automatic program transformations
ACM Transactions on Embedded Computing Systems (TECS)
Scheduling real-time multi-item requests in wireless on-demand broadcast networks
Mobility '07 Proceedings of the 4th international conference on mobile technology, applications, and systems and the 1st international symposium on Computer human interaction in mobile technology
Generalized rate monotonic schedulability bounds using relative period ratios
Information Processing Letters
Schedulability analysis of preemptive and nonpreemptive EDF on partial runtime-reconfigurable FPGAs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Real-Time Communication Analysis for On-Chip Networks with Wormhole Switching
NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
Efficient implementation of tight response-times for tasks with offsets
Real-Time Systems
Improvements in polynomial-time feasibility testing for EDF
Proceedings of the conference on Design, automation and test in Europe
An application-based EDF scheduler for OSEK/VDX
Proceedings of the conference on Design, automation and test in Europe
Overhead elimination mechanisms in real-time systems
Journal of Computational Methods in Sciences and Engineering - Selected papers from the International Conference on Computer Science, Software Engineering, Information Technology, e-Business, and Applications, 2004
Scheduling algorithms for conducting conflict-free measurements in overlay networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Preserving the consistency of distributed objects with real-time transactions
NOTERE '08 Proceedings of the 8th international conference on New technologies in distributed systems
Non-preemptive Fixed Priority Scheduling of Hard Real-Time Periodic Tasks
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
ICESS '07 Proceedings of the 3rd international conference on Embedded Software and Systems
Anytime Control Algorithms for Embedded Real-Time Systems
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
On the Timed Automata-Based Verification of Ravenscar Systems
Ada-Europe '08 Proceedings of the 13th Ada-Europe international conference on Reliable Software Technologies
Can We Increase the Usability of Real Time Scheduling Theory? The Cheddar Project
Ada-Europe '08 Proceedings of the 13th Ada-Europe international conference on Reliable Software Technologies
Leakage-Aware Energy Efficient Scheduling for Fixed-Priority Tasks with Preemption Thresholds
ADMA '08 Proceedings of the 4th international conference on Advanced Data Mining and Applications
CIAA '08 Proceedings of the 13th international conference on Implementation and Applications of Automata
Exact Response Time Scheduling Analysis of Accumulatively Monotonic Multiframe Real Time Tasks
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
A Constant-Approximate Feasibility Test for Multiprocessor Real-Time Scheduling
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
On Scheduling Policies for Streams of Structured Jobs
FORMATS '08 Proceedings of the 6th international conference on Formal Modeling and Analysis of Timed Systems
SAFECOMP '08 Proceedings of the 27th international conference on Computer Safety, Reliability, and Security
From Model Driven Engineering to Verification Driven Engineering
SEUS '08 Proceedings of the 6th IFIP WG 10.2 international workshop on Software Technologies for Embedded and Ubiquitous Systems
A virtual platform for multiprocessor real-time embedded systems
JTRES '08 Proceedings of the 6th international workshop on Java technologies for real-time and embedded systems
Non-blocking root scanning for real-time garbage collection
JTRES '08 Proceedings of the 6th international workshop on Java technologies for real-time and embedded systems
Time-predictable memory arbitration for a Java chip-multiprocessor
JTRES '08 Proceedings of the 6th international workshop on Java technologies for real-time and embedded systems
Alternatives for scheduling virtual machines in real-time embedded systems
Proceedings of the 1st workshop on Isolation and integration in embedded systems
Portioned EDF-based scheduling on multiprocessors
EMSOFT '08 Proceedings of the 8th ACM international conference on Embedded software
RTComposer: a framework for real-time components with scheduling interfaces
EMSOFT '08 Proceedings of the 8th ACM international conference on Embedded software
Flexible hard real-time scheduling for deliberative AI systems
Real-Time Systems
Delay composition in preemptive and non-preemptive real-time pipelines
Real-Time Systems
Power management in the EPOS system
ACM SIGOPS Operating Systems Review
Real-time synchronization on distributed architecture with Ada-2005
Proceedings of the 2008 ACM annual international conference on SIGAda annual international conference
Anima: a Ada derived programming language for real-time embedded software development
Proceedings of the 2008 ACM annual international conference on SIGAda annual international conference
Performance evaluation of real-time schedulers for HCCA function in IEEE 802.11e wireless networks
Proceedings of the 4th ACM symposium on QoS and security for wireless and mobile networks
Operating system support for distributed applications in real space-time
CSTST '08 Proceedings of the 5th international conference on Soft computing as transdisciplinary science and technology
FCS/nORB: A feedback control real-time scheduling service for embedded ORB middleware
Microprocessors & Microsystems
An anomaly prevention approach for real-time task scheduling
Journal of Systems and Software
Algorithm for the choice of topology in reconfigurable on-chip networks with real-time support
Proceedings of the 2nd international conference on Nano-Networks
Journal of Embedded Computing - Best Papers of RTS' 2005
Some results on scheduling tasks with self-suspensions
Journal of Embedded Computing - Best Papers of RTS' 2005
Joint garbage collection and hard real-time scheduling
Journal of Embedded Computing - Best Papers of RTS' 2005
CyNC: A method for real time analysis of systems with cyclic data flows
Journal of Embedded Computing - Best Papers of RTS' 2005
Stochastic Analysis of Expected Schedulability for Real-Time Tasks on a Single Computing System
DS-RT '08 Proceedings of the 2008 12th IEEE/ACM International Symposium on Distributed Simulation and Real-Time Applications
Sampling period scheduling of networked control systems with multiple-control loops
Mathematics and Computers in Simulation
On dynamic packet fragmentation for traffic integration over bandwidth-limited links
International Journal of Communication Networks and Distributed Systems
The space of EDF deadlines: the exact region and a convex approximation
Real-Time Systems
Global Static-Priority Preemptive Multiprocessor Scheduling with Utilization Bound 38%
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
Deadline Monotonic Scheduling on Uniform Multiprocessors
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
A general distributed scalable grid scheduler for independent tasks
Journal of Parallel and Distributed Computing
Execution aware implementation of numeric PID controllers
ISTASC'08 Proceedings of the 8th conference on Systems theory and scientific computation
Efficient and scalable multiprocessor fair scheduling using distributed weighted round-robin
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
Mapping parallelism to multi-cores: a machine learning based approach
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
IPC Control for Multiple Real-Time Threads on an In-Order SMT Processor
HiPEAC '09 Proceedings of the 4th International Conference on High Performance Embedded Architectures and Compilers
Available task-level parallelism on the Cell BE
Scientific Programming - High Performance Computing with the Cell Broadband Engine
CellSs: Scheduling techniques to better exploit memory hierarchy
Scientific Programming - High Performance Computing with the Cell Broadband Engine
Power saving and fault-tolerance in real-time critical embedded systems
Journal of Systems Architecture: the EUROMICRO Journal
Resource Allocation in Streaming Environments
GRID '06 Proceedings of the 7th IEEE/ACM International Conference on Grid Computing
Analysis on quantum-based fixed priority scheduling of real-time tasks
Proceedings of the 3rd International Conference on Ubiquitous Information Management and Communication
An earlier time for inserting and/or accelerating tasks
Real-Time Systems
Real-time task scheduling by multiobjective genetic algorithm
Journal of Systems and Software
Adaptive Fair Sharing Control in Real-Time Systems Using Nonlinear Elastic Task Models
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Performance Consensus Problem of Multi-Agent Systems with Multiple State Variables
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Catching two rabbits: adaptive real-time support for embedded Linux
Software—Practice & Experience
Resource sharing in behavioral based scheduling
Proceedings of the 2009 ACM symposium on Applied Computing
An implementation of the earliest deadline first algorithm in Linux
Proceedings of the 2009 ACM symposium on Applied Computing
Synchronous Modeling and Validation of Priority Inheritance Schedulers
FASE '09 Proceedings of the 12th International Conference on Fundamental Approaches to Software Engineering: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
On the design and implementation of a shared memory dispatcher for partially clairvoyant schedulers
International Journal of Parallel Programming
Time-bounded distributed QoS-aware service configuration in heterogeneous cooperative environments
Journal of Parallel and Distributed Computing
A reinforcement learning framework for utility-based scheduling in resource-constrained systems
Future Generation Computer Systems
Minimizing CPU energy in real-time systems with discrete speed management
ACM Transactions on Embedded Computing Systems (TECS)
An implementing framework for holonic manufacturing control with multiple robot-vision stations
Engineering Applications of Artificial Intelligence
Scheduling on-demand broadcast with timing constraints
Journal of Parallel and Distributed Computing
On the Optimal Scheduling of Streaming Applications in Unstructured Meshes
NETWORKING '09 Proceedings of the 8th International IFIP-TC 6 Networking Conference
Period sensitivity analysis and D-P domain feasibility region in dynamic priority systems
Journal of Systems and Software
TCPN-Based Tool for Timing Constraints Modelling and Validation
Proceedings of the 2005 conference on Software Engineering: Evolution and Emerging Technologies
Deadline fault tolerance in a networked real-time system
Proceedings of the 2006 conference on Advances in Intelligent IT: Active Media Technology 2006
Model-driven development of multi-core embedded software
IWMSE '09 Proceedings of the 2009 ICSE Workshop on Multicore Software Engineering
A Mapping Framework Based on Packing for Design Space Exploration of Heterogeneous MPSoCs
Journal of Signal Processing Systems
Composing and Ensuring Time-Bounded Agent Services
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
Implementation of the Ada 2005 Task Dispatching Model in MaRTE OS and GNAT
Ada-Europe '09 Proceedings of the 14th Ada-Europe International Conference on Reliable Software Technologies
Combining EDF and FP Scheduling: Analysis and Implementation in Ada 2005
Ada-Europe '09 Proceedings of the 14th Ada-Europe International Conference on Reliable Software Technologies
Effective bandwidth utilization in IEEE 802.11e
The Fourth International Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness & Workshops
The "Any-Schedulability" Criterion for Providing QoS Guarantees through Advance Reservation Requests
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
ICCOM'08 Proceedings of the 12th WSEAS international conference on Communications
Wireless sensor network operating systems: a survey
International Journal of Sensor Networks
Resource holding times: computation and optimization
Real-Time Systems
Formal verification of real-time systems with preemptive scheduling
Real-Time Systems
Robust priority assignment for messages on Controller Area Network (CAN)
Real-Time Systems
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
Proceedings of the 7th International Workshop on Java Technologies for Real-Time and Embedded Systems
Clock-driven distributed real-time implementation of endochronous synchronous programs
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Dataflow models for shared memory access latency analysis
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Energy-efficiency for multiframe real-time tasks on a dynamic voltage scaling processor
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Bottom-up performance analysis considering time slice based software scheduling at system level
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Evaluating design trade-offs in customizable processors
Proceedings of the 46th Annual Design Automation Conference
Periodic scheduling with obligatory vacations
Theoretical Computer Science
The effectiveness of intelligent scheduling for multicast video-on-demand
MM '09 Proceedings of the 17th ACM international conference on Multimedia
RRNA: reliable soft real-time network aware grid scheduling algorithm using round trip time
SpringSim '09 Proceedings of the 2009 Spring Simulation Multiconference
Optimal RM scheduling for simply periodic tasks on uniform multiprocessors
Proceedings of the 2009 International Conference on Hybrid Information Technology
Validate, simulate, and implement ARINC653 systems using the AADL
Proceedings of the ACM SIGAda annual international conference on Ada and related technologies
Fixed-priority scheduling on prioritized SMT processor
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Real-time static voltage scaling on multiprocessors
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Schedulability of aperiodic tasks in hybrid process model
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Toward scalable real-time messaging
IBM Systems Journal
Task-execution scheduling schemes for network measurement and monitoring
Computer Communications
An evaluation of timed scenario notations
Journal of Systems and Software
Utility accrual real-time scheduling for multiprocessor embedded systems
Journal of Parallel and Distributed Computing
A reinforcement learning framework for utility-based scheduling in resource-constrained systems
A reinforcement learning framework for utility-based scheduling in resource-constrained systems
Journal of Systems Architecture: the EUROMICRO Journal
A step to support real-time in virtual machine
CCNC'09 Proceedings of the 6th IEEE Conference on Consumer Communications and Networking Conference
Rate monotonic scheduling re-analysed
Information Processing Letters
Scheduling methodology and stability of MIMO networked control systems
CCDC'09 Proceedings of the 21st annual international conference on Chinese Control and Decision Conference
Research on synthesis parameter real-time scheduling algorithm on multi-core architecture
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
ACM Transactions on Embedded Computing Systems (TECS)
Real-time communication and admission control over responsive link
PDCN '08 Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks
Optimal real-time scheduling for efficient aperiodic services on multiprocessors
PDCN '08 Proceedings of the IASTED International Conference on Parallel and Distributed Computing and Networks
DESH: overhead reduction algorithms for deferrable scheduling
Real-Time Systems
International Journal of Reconfigurable Computing - Special issue on selected papers from ReConFig 2008
Formalising scheduling theories in duration calculus
Nordic Journal of Computing
Research of the real-time performance of operating system
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Improving task responsiveness with limited preemptions
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
The DLR-ORECOP real-time replication control protocol
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Designing real-time embedded controllers using the anytime computing paradigm
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Quantitative analysis and systematic parametrization of a two-level real-time scheduler
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Towards hierarchical scheduling in AUTOSAR
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Energy reduction techniques for systems with non-DVS components
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Real-time platooning of mobile robots: design and implementation
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Real-time smoothing for network adaptive video streaming
Journal of Visual Communication and Image Representation
Real-Time Embedded Software Design for Mobile and Ubiquitous Systems
Journal of Signal Processing Systems
Scheduling on-demand data broadcast in mixed-type request environments
Computer Networks: The International Journal of Computer and Telecommunications Networking
Predictability of Fixed-Job Priority schedulers on heterogeneous multiprocessor real-time systems
Information Processing Letters
Self-tuning schedulers for legacy real-time applications
Proceedings of the 5th European conference on Computer systems
Performance analysis for real-time grid systems on COTS operating systems
ICCS'03 Proceedings of the 2003 international conference on Computational science
On self-triggered full-information H-infinity controllers
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
Reinventing computing for real time
Proceedings of the 12th Monterey conference on Reliable systems on unreliable networked platforms
Modeling and analysis of power-aware systems
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Deliberative server for real-time agents
CEEMAS'03 Proceedings of the 3rd Central and Eastern European conference on Multi-agent systems
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
On the application of hybrid control to CPU reservations
HSCC'03 Proceedings of the 6th international conference on Hybrid systems: computation and control
A component based real-time scheduling architecture
Architecting dependable systems
Delivering real-time behaviour
Domain modeling and the duration calculus
A metamodel-driven process featuring advanced model-based timing analysis
Ada-Europe'07 Proceedings of the 12th international conference on Reliable software technologies
Towards user-level extensibility of an Ada library: an experiment with cheddar
Ada-Europe'07 Proceedings of the 12th international conference on Reliable software technologies
A QoS-guaranteeing scheduling algorithm for continuous queries over streams
APWeb/WAIM'07 Proceedings of the joint 9th Asia-Pacific web and 8th international conference on web-age information management conference on Advances in data and web management
Energy-aware dual-mode voltage scaling for weakly hard real-time systems
Proceedings of the 2010 ACM Symposium on Applied Computing
Design and development of a real-time embedded inertial measurement unit
Proceedings of the 2010 ACM Symposium on Applied Computing
A real-time architecture design language for multi-rate embedded control systems
Proceedings of the 2010 ACM Symposium on Applied Computing
Profit-based on-demand broadcast scheduling of real-time multi-item requests
Proceedings of the 2010 ACM Symposium on Applied Computing
Mapping and performance evaluation for heterogeneous MP-SoCs via packing
SAMOS'07 Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation
Scheduling real-time requests in on-demand broadcast environments
NBiS'07 Proceedings of the 1st international conference on Network-based information systems
SEUS'07 Proceedings of the 5th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
Multi-processor schedulability analysis of preemptive real-time tasks with variable execution times
FORMATS'07 Proceedings of the 5th international conference on Formal modeling and analysis of timed systems
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Revisiting fixed priority techniques
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Real-time scheduling under time-interval constraints
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Real-time embedded software design for mobile and ubiquitous systems
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
A general distributed scalable peer to peer scheduler for mixed tasks in grids
HiPC'07 Proceedings of the 14th international conference on High performance computing
Global fixed-priority scheduling of arbitrary-deadline sporadic task systems
ICDCN'08 Proceedings of the 9th international conference on Distributed computing and networking
Journal of Systems and Software
Soft real-time scheduling on SMT processors with explicit resource allocation
ARCS'08 Proceedings of the 21st international conference on Architecture of computing systems
Proceedings of the 9th ACM/IEEE International Conference on Information Processing in Sensor Networks
Engineering the development of embedded systems
Formal methods and hybrid real-time systems
A capacity sharing and stealing strategy for open real-time systems
Journal of Systems Architecture: the EUROMICRO Journal
Partition oriented frame based fair scheduler
Journal of Parallel and Distributed Computing
Towards a ravenscar extension for multi-processor systems
ACM SIGAda Ada Letters
Alea 2: job scheduling simulator
Proceedings of the 3rd International ICST Conference on Simulation Tools and Techniques
Early schedulability analysis with timed use case maps
SDL'09 Proceedings of the 14th international SDL conference on Design for motes and mobiles
Nonblocking real-time garbage collection
ACM Transactions on Embedded Computing Systems (TECS)
A real-time Java chip-multiprocessor
ACM Transactions on Embedded Computing Systems (TECS)
Feedback fuzzy-PI control dynamic voltage scaling for real-time control tasks
ROBIO'09 Proceedings of the 2009 international conference on Robotics and biomimetics
ROBIO'09 Proceedings of the 2009 international conference on Robotics and biomimetics
Iterative probabilistic performance prediction for multi-application multiprocessor systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Cost Analysis for Embedded Systems: Experiments with Priced Timed Automata
Electronic Notes in Theoretical Computer Science (ENTCS)
Run-time Task Overlapping on Multiprocessor Platforms
Journal of Signal Processing Systems
On trade-offs in event delivery systems
Proceedings of the Fourth ACM International Conference on Distributed Event-Based Systems
A probabilistic and energy-efficient scheduling approach for online application in real-time systems
Proceedings of the 47th Design Automation Conference
Dynamic routing of real-time jobs among parallel EDF queues: A performance study
Computers and Electrical Engineering
Improving responsiveness of soft aperiodic tasks using proportional slack time
Computers and Electrical Engineering
Fuzzy expert system for load balancing in symmetric multiprocessor systems
Expert Systems with Applications: An International Journal
Scheduling of hard real-time garbage collection
Real-Time Systems
Improved multiprocessor global schedulability analysis
Real-Time Systems
Dual ceiling protocol for real-time synchronization under preemption threshold scheduling
Journal of Computer and System Sciences
Multiprocessor real-time scheduling considering concurrency and urgency
ACM SIGBED Review - Special Issue on the Work-in-Progress (WIP) Session at the 2009 IEEE Real-Time Systems Symposium (RTSS)
Horizon: efficient deadline-driven disk I/O management for distributed storage systems
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
Janus: a cross-layer soft real-time architecture for virtualization
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
Redline: first class support for interactivity in commodity operating systems
OSDI'08 Proceedings of the 8th USENIX conference on Operating systems design and implementation
On-demand broadcast for multiple-item requests in a multiple-channel environment
Information Sciences: an International Journal
Online Controller Area Network message scheduling: analysis, implementation and applications
International Journal of Systems, Control and Communications
Failure prevention of real-time systems based on the latest execution time
RTC'05 Proceedings of the 14th IEEE-NPSS conference on Real time
Constant-time admission control for deadline monotonic tasks
Proceedings of the Conference on Design, Automation and Test in Europe
Integrated end-to-end timing analysis of networked AUTOSAR-compliant systems
Proceedings of the Conference on Design, Automation and Test in Europe
A proposal for real-time interfaces in SPEEDS
Proceedings of the Conference on Design, Automation and Test in Europe
Partitioning and allocation of scratch-pad memory for priority-based preemptive multi-task systems
Proceedings of the Conference on Design, Automation and Test in Europe
Taming the component timing: a CBD methodology for real-time embedded systems
Proceedings of the Conference on Design, Automation and Test in Europe
Utilization bound for periodic task set with composite deadline
Computers and Electrical Engineering
EDF-schedulability of synchronous periodic task systems is coNP-hard
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Algorithms and complexity for periodic real-time scheduling
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Integrated scheduling and synthesis of control applications on distributed embedded systems
Proceedings of the Conference on Design, Automation and Test in Europe
Improved worst-case response-time calculations by upper-bound conditions
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the Conference on Design, Automation and Test in Europe
Learning early-stage platform dimensioning from late-stage timing verification
Proceedings of the Conference on Design, Automation and Test in Europe
An MILP-based performance analysis technique for non-preemptive multitasking MPSoC
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special section on the ACM IEEE international conference on formal methods and models for codesign (MEMOCODE) 2009
System-level reliability modeling for MPSoCs
CODES/ISSS '10 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Online robust optimization framework for QoS guarantees in distributed soft real-time systems
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Load-based schedulability analysis of certifiable mixed-criticality systems
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Reducing stack with intra-task threshold priorities in real-time systems
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Parametric timing analysis and its application to dynamic voltage scaling
ACM Transactions on Embedded Computing Systems (TECS)
Feasibility analysis of sporadic real-time multiprocessor task systems
ESA'10 Proceedings of the 18th annual European conference on Algorithms: Part II
ACO approach with learning for preemptive scheduling of real-time tasks
International Journal of Bio-Inspired Computation
Performance specifications and metrics for adaptive real-time systems
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Scalable real-time system design using preemption thresholds
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
An efficient feasibility test method for hard real-time periodic tasks
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Real-time digital signal processing of component-oriented phased array radars
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Real-time control system analysis: an integrated approach
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Formal analysis of the priority ceiling protocol
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Cache and pipeline sensitive fixed priority scheduling for preemptive real-time systems
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Efficient scheduling of sporadic, aperiodic, and periodic tasks with complex constraints
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Analysis of a window-constrained scheduler for real-time and best-effort packet streams
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Scheduling the CAN bus with earliest deadline techniques
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
High speed hardware-assisted real-time interprocess communication for embedded microcontrollers
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
An incremental approach to scheduling during overloads in real-time systems
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Capacity sharing for overrun control
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Open problems in real-time scheduling
Journal of Scheduling
A fault-tolerant reservation-based strategy for scheduling aperiodic tasks in multiprocessor systems
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
Admission control for hard real-time connections in ATM LANs
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 1
Traffic-controlled rate-monotonic priority scheduling of ATM cells
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 2
Optimum allocation of distributed service workflows with probabilistic real-time guarantees
Service Oriented Computing and Applications
Flexible tardiness bounds for sporadic real-time task systems on multiprocessors
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Schedulability analysis of non-preemptive recurring real-time tasks
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Fault tolerance with real-time java
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A probabilistic approach for fault tolerant multiprocessor real-time scheduling
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
GTS allocation analysis in IEEE 802.15.4 for real-time wireless sensor networks
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
QoS-based management of multiple shared resource in dynamic real-time systems
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Optimum: a MARTE-based methodology for schedulability analysis at early design stages
ACM SIGSOFT Software Engineering Notes
Embedded hard real-time scheduling algorithm based on task's resource requirement
International Journal of High Performance Computing and Networking
A model-based approach for multiple QoS in scheduling: from models to implementation
Automated Software Engineering
On the schedulability analysis for dynamic QOS management in distributed embedded systems
SEUS'10 Proceedings of the 8th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
A new fair scheduling algorithm for periodic tasks on multiprocessors
Information Processing Letters
Comments on "Generalized rate monotonic schedulability bounds using relative period ratios"
Information Processing Letters
QoS and resource management in distributed interactive multimedia environments
Multimedia Tools and Applications
Mobile Networks and Applications
A new implementation method of timer for periodic tasks
Journal of Embedded Computing - Advanced Topics on Embedded Computing
Non-preemptive fuzzy classification algorithm for non-traditional safety-critical systems
Journal of Embedded Computing - Advanced Topics on Embedded Computing
ISoLA'10 Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part I
Trace recording for embedded systems: lessons learned from five industrial projects
RV'10 Proceedings of the First international conference on Runtime verification
Multicore embedded systems: the timing problem and possible solutions
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Design and implementation of an operating system for composable processor sharing
Microprocessors & Microsystems
WAOA'10 Proceedings of the 8th international conference on Approximation and online algorithms
Determining actual response time in P-FRP
PADL'11 Proceedings of the 13th international conference on Practical aspects of declarative languages
Scheduling periodic tasks on uniform multiprocessors
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Schedulability analysis of distributed hard real-time systems with multiple-event synchronization
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Worst-case utilization bound for EDF scheduling on real-time multiprocessor systems
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Concurrency control in a multiprocessor real-time database system
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Predicting computation time for advanced processor architectures
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Designing for schedulability: integrating schedulability analysis with object-oriented design
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
On adaptive control techniques in real-time resource allocation
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Towards validated real-time software
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Greedy reclamation of unused bandwidth constant-bandwidth servers
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Schedulability analysis for systems with data and control dependencies
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Non pre-emptive scheduling of messages on SMTV token-passing networks
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
The implementation of hierarchical schedulers in the RED-Linux scheduling framework
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Scheduling algorithms for dynamic message streams with distance constraints in TDMA protocol
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Scheduling heterogeneous multimedia servers: different QoS for hard, soft and non real-time clients
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Proceedings of the 2011 International Conference on Communication, Computing & Security
Improving commercial RTOS performance using a custom interrupt management scheduling policy
ACC'10 Proceedings of the 2010 international conference on Applied computing conference
Performance Evaluation of Real-Time Scheduling Heuristics for Energy Harvesting Systems
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Energy-aware wireless systems with adaptive power-fidelity tradeoffs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Efficient exploration of bus-based system-on-chip architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy minimization for real-time systems with (m; k)-guarantee
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Modular software architecture for flexible reservation mechanisms on heterogeneous resources
Journal of Systems Architecture: the EUROMICRO Journal
Journal of Systems Architecture: the EUROMICRO Journal
Design and implementation of semi-preemptible IO
FAST'03 Proceedings of the 2nd USENIX conference on File and storage technologies
Additional switched Ethernet protocol for industrial hard real-time traffic
ACOS'06 Proceedings of the 5th WSEAS international conference on Applied computer science
A reliable task scheduling scheme for sensor-based real-time operating system
ACOS'06 Proceedings of the 5th WSEAS international conference on Applied computer science
Journal of Systems Architecture: the EUROMICRO Journal
Global EDF-based scheduling with laxity-driven priority promotion
Journal of Systems Architecture: the EUROMICRO Journal
Job vs. portioned partitioning for the earliest deadline first semi-partitioned scheduling
Journal of Systems Architecture: the EUROMICRO Journal
A survey of hard real-time scheduling for multiprocessor systems
ACM Computing Surveys (CSUR)
Combining scheduling and concurrency control of real-time transactions within the MOA architecture
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
Fault tolerance evaluation and schedulability analysis
Proceedings of the 2011 ACM Symposium on Applied Computing
Multiprocessor schedulability analyser
Proceedings of the 2011 ACM Symposium on Applied Computing
SParK: safety partition kernel for integrated real-time systems
From active data management to event-based systems and more
Bistro data feed management system
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
An overview of interrupt accounting techniques for multiprocessor real-time systems
Journal of Systems Architecture: the EUROMICRO Journal
Processing data streams with hard real-time constraints on heterogeneous systems
Proceedings of the international conference on Supercomputing
Multi-tiered, burstiness-aware bandwidth estimation and scheduling for VBR video flows
Proceedings of the Nineteenth International Workshop on Quality of Service
TimeGraph: GPU scheduling for real-time multi-tasking environments
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Multi-task Implementation of Multi-periodic Synchronous Programs
Discrete Event Dynamic Systems
Charlemagne's Challenge: The Periodic Latency Problem
Operations Research
A real-time scheduling framework for embedded systems with environmental energy harvesting
Computers and Electrical Engineering
A column generation approach to scheduling of periodic tasks
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Design and implementation of a Ravenscar extension for multiprocessors
Ada-Europe'11 Proceedings of the 16th Ada-Europe international conference on Reliable software technologies
Better never than late: meeting deadlines in datacenter networks
Proceedings of the ACM SIGCOMM 2011 conference
ASMTA'11 Proceedings of the 18th international conference on Analytical and stochastic modeling techniques and applications
Requirements and solutions for timing analysis of automotive systems
SAM'10 Proceedings of the 6th international conference on System analysis and modeling: about models
Real-time scheduling using regularity criteria and a geometrical approach
International Journal of Critical Computer-Based Systems
Design of a hard real-time multi-core testbed for energy measurement
Microelectronics Journal
Synthesizing cyber-physical architectural models with real-time constraints
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
Reliability comparison of schedulability test in ubiquitous computing
UIC'11 Proceedings of the 8th international conference on Ubiquitous intelligence and computing
Making DRAM refresh predictable
Real-Time Systems
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
Resource allocation contracts for open analytic runtime models
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
Task synchronization and allocation for many-core real-time systems
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
Performance-aware scheduler synthesis for control systems
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
A synergetic approach to accurate analysis of cache-related preemption delay
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
A novel thread scheduler design for polymorphic embedded systems
CASES '11 Proceedings of the 14th international conference on Compilers, architectures and synthesis for embedded systems
QoC elastic scheduling for real-time control systems
Real-Time Systems
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Zero-laxity based real-time multiprocessor scheduling
Journal of Systems and Software
Mixed-criticality scheduling of sporadic task systems
ESA'11 Proceedings of the 19th European conference on Algorithms
Compiler-Supported Thread Management for Multithreaded Network Processors
ACM Transactions on Embedded Computing Systems (TECS)
A Robust Mechanism for Adaptive Scheduling of Multimedia Applications
ACM Transactions on Embedded Computing Systems (TECS)
I-DEVS: imprecise real-time and embedded DEVS modeling
Proceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium
Towards a simple meta-model for complex real-time and embedded systems
MEDI'11 Proceedings of the First international conference on Model and data engineering
Non-functional information transmission patterns for distributed real-time Java
Software—Practice & Experience
Server-side I/O coordination for parallel file systems
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Power efficient rate monotonic scheduling for multi-core systems
Journal of Parallel and Distributed Computing
Fixed Priority Scheduling Strategies for Ambient Energy-Harvesting Embedded Systems
GREENCOM '11 Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications
A QoS Guaranteed Cache Design for Environment Friendly Computing
GREENCOM '11 Proceedings of the 2011 IEEE/ACM International Conference on Green Computing and Communications
A greedy reclaiming scheduler for IEEE 802.11e HCCA real-time networks
Proceedings of the 14th ACM international conference on Modeling, analysis and simulation of wireless and mobile systems
International Journal of Applied Mathematics and Computer Science
An Ada design pattern recognition tool for AADL performance analysis
SIGAda '11 Proceedings of the 2011 ACM annual international conference on Special interest group on the ada programming language
A real-time streaming server in the RTLinux environment using VideoLanClient
Journal of Real-Time Image Processing
On the schedulability of a data-centric real-time distribution middleware
Computer Standards & Interfaces
Plan development using local probabilistic models
UAI'96 Proceedings of the Twelfth international conference on Uncertainty in artificial intelligence
ISoLA'04 Proceedings of the First international conference on Leveraging Applications of Formal Methods
Distributed priority inheritance for real-time and embedded systems
OPODIS'06 Proceedings of the 10th international conference on Principles of Distributed Systems
A Highly Scalable Decentralized Scheduler of Tasks with Deadlines
GRID '11 Proceedings of the 2011 IEEE/ACM 12th International Conference on Grid Computing
Solving multiprocessor real-time system scheduling with enhanced competitive scheme
ICONIP'06 Proceedings of the 13th international conference on Neural Information Processing - Volume Part II
On a NIC's operating system, schedulers and high-performance networking applications
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
Hierarchical scheduling with ada 2005
Ada-Europe'06 Proceedings of the 11th Ada-Europe international conference on Reliable Software Technologies
Dual-mode r-reliable task model for flexible scheduling in reliable real-time systems
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
The design of real-time fault detectors
OTM'05 Proceedings of the 2005 Confederated international conference on On the Move to Meaningful Internet Systems - Volume >Part I
Timely provisioning of mobile services in critical pervasive environments
OTM'05 Proceedings of the 2005 Confederated international conference on On the Move to Meaningful Internet Systems - Volume >Part I
Efficient alternatives for implementing fixed-priority schedulers
Ada-Europe'05 Proceedings of the 10th Ada-Europe international conference on Reliable Software Technologies
Non-intrusive system level fault-tolerance
Ada-Europe'05 Proceedings of the 10th Ada-Europe international conference on Reliable Software Technologies
QoS optimization for thermal-aware cyber-physical systems
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
Cost-minimal pre-allocation of software tasks under real-time constraints
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
Delay-bandwidth normalized service sharing with service rate guarantees
Proceedings of the 2011 ACM Symposium on Research in Applied Computation
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
Exact best-case end-to-end response time analysis for hard real-time distributed systems
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
Optimal control for real-time feedback rate-monotonic schedulers
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
A soft real-time web news classification system with double control loops
WAIM'05 Proceedings of the 6th international conference on Advances in Web-Age Information Management
Tick scheduling: a deadline based optimal task scheduling approach for real-time data stream systems
WAIM'05 Proceedings of the 6th international conference on Advances in Web-Age Information Management
Fairness-free periodic scheduling with vacations
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Embedded systems architecture: evaluation and analysis
QoSA'06 Proceedings of the Second international conference on Quality of Software Architectures
International Journal of Approximate Reasoning
Support industrial hard real-time traffic with switched ethernet
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
G-SCAN: a novel real-time disk scheduling using grouping and branch-and-bound strategy
ICCSA'06 Proceedings of the 6th international conference on Computational Science and Its Applications - Volume Part I
Predictability of least laxity first scheduling algorithm on multiprocessor real-time systems
EUC'06 Proceedings of the 2006 international conference on Emerging Directions in Embedded and Ubiquitous Computing
Compositional specification of real time embedded systems by priority time Petri Nets
The Journal of Supercomputing
A comparative study of rate monotonic schedulability tests
The Journal of Supercomputing
Optimal task execution times for periodic tasks using nonlinear constrained optimization
The Journal of Supercomputing
Dynamic voltage scaling for real-time scheduling of multimedia tasks
PCM'05 Proceedings of the 6th Pacific-Rim conference on Advances in Multimedia Information Processing - Volume Part II
Selecting a scheduling policy for embedded real-time monitor and control systems
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
Two-dimensional priority scheduling scheme for open real-time systems
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
An enhanced dynamic voltage scaling scheme for energy-efficient embedded real-time control systems
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
Integrated computation, communication and control: towards next revolution in information technology
CIT'04 Proceedings of the 7th international conference on Intelligent Information Technology
Power-Aware scheduling for parallel security processors with analytical models
LCPC'04 Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing
Embedded Systems Design
Embedded Systems Design
Embedded Systems Design
Scheduling method for a real time data service in the wireless ATM networks
ICIC'05 Proceedings of the 2005 international conference on Advances in Intelligent Computing - Volume Part II
Power-saving scheduling for weakly dynamic voltage scaling devices
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Enhancing security of real-time applications on grids through dynamic scheduling
JSSPP'05 Proceedings of the 11th international conference on Job Scheduling Strategies for Parallel Processing
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
Bid-Based approach for pricing web service
ODBASE'06/OTM'06 Proceedings of the 2006 Confederated international conference on On the Move to Meaningful Internet Systems: CoopIS, DOA, GADA, and ODBASE - Volume Part I
QoS-oriented packet scheduling schemes for multimedia traffics in OFDMA systems
ICN'05 Proceedings of the 4th international conference on Networking - Volume Part I
Multilevel static real-time scheduling algorithms using graph partitioning
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Fast real-time job selection with resource constraints under earliest deadline first
SAMOS'05 Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
ISSADS'05 Proceedings of the 5th international conference on Advanced Distributed Systems
Discrete geometry applied in hard real-time systems validation
DGCI'05 Proceedings of the 12th international conference on Discrete Geometry for Computer Imagery
A general buffer scheme for the windows scheduling problem
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
ESL Design and Verification: A Prescription for Electronic System Level Methodology
ESL Design and Verification: A Prescription for Electronic System Level Methodology
A compositional framework for real-time embedded systems
ISAS'05 Proceedings of the Second international conference on Service Availability
End-to-end worst-case response time analysis for hard real-time distributed systems
SAFECOMP'05 Proceedings of the 24th international conference on Computer Safety, Reliability, and Security
Bossa nova: introducing modularity into the bossa domain-specific language
GPCE'05 Proceedings of the 4th international conference on Generative Programming and Component Engineering
Time-bounded analysis of real-time systems
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Transformation from ad hoc EDA to algorithmic EDA
Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
Model-Driven architecture for hard real-time systems: from platform independent models to code
ECMDA-FA'05 Proceedings of the First European conference on Model Driven Architecture: foundations and Applications
A task-type aware transaction scheduling algorithm in J2EE
OTM'05 Proceedings of the 2005 OTM Confederated international conference on On the Move to Meaningful Internet Systems: CoopIS, COA, and ODBASE - Volume Part II
What to make of multicore processors for reliable real-time systems?
Ada-Europe'10 Proceedings of the 15th Ada-Europe international conference on Reliable Software Technologies
Implementing multicore real-time scheduling algorithms based on task splitting using ada 2012
Ada-Europe'10 Proceedings of the 15th Ada-Europe international conference on Reliable Software Technologies
OPODIS'05 Proceedings of the 9th international conference on Principles of Distributed Systems
An optimization methodology for memory allocation and task scheduling in socs via linear programming
SAMOS'06 Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
Probabilistic modelling and evaluation of soft real-time embedded systems
SAMOS'06 Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
Performance evaluation approaches for software architects
Component-Based Software Development for Embedded Systems
Modeling and validation of a software architecture for the ariane-5 launcher
FMOODS'06 Proceedings of the 8th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
Providing configurable qos management in real-time systems with qos aspect packages
Transactions on Aspect-Oriented Software Development II
Modelling and proof analysis of interrupt driven scheduling
B'07 Proceedings of the 7th international conference on Formal Specification and Development in B
Arrival curves for real-time calculus: the causality problem and its solutions
TACAS'10 Proceedings of the 16th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Real-time task scheduling on heterogeneous two-processor systems
ICA3PP'10 Proceedings of the 10th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
Partially non-preemptive dual priority multiprocessor scheduling
OPODIS'11 Proceedings of the 15th international conference on Principles of Distributed Systems
Quasi-static fault-tolerant scheduling schemes for energy-efficient hard real-time systems
Journal of Systems and Software
Sharp utilization thresholds for some realtime scheduling problems
ACM SIGMETRICS Performance Evaluation Review
Windows scheduling of arbitrary-length jobs on multiple machines
Journal of Scheduling
Feasibility analysis of real-time transactions
Real-Time Systems
On the nature and impact of self-similarity in real-time systems
Real-Time Systems
Stochastic enforced hill-climbing
Journal of Artificial Intelligence Research
Journal of Systems and Software
Rhythmic Tasks: A New Task Model with Continually Varying Periods for Cyber-Physical Systems
ICCPS '12 Proceedings of the 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems
Two phase locking-based algorithm with partial abort for firm deadline real-time database systems
ADBIS'97 Proceedings of the First East-European conference on Advances in Databases and Information systems
Thermal-aware real-time task scheduling for three-dimensional multicore chip
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Off-line (Optimal) multiprocessor scheduling of dependent periodic tasks
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Research: System support for time-critical applications
Computer Communications
Research note: 802.5 priority mechanism in hard real-time RMS applications
Computer Communications
A quasi-static retrieval scheme for interactive VOD servers
Computer Communications
The design of the TAO real-time object request broker
Computer Communications
Using software feedback mechanism for distributed MPEG video player systems
Computer Communications
Real-time multiserver and multichannel systems with shortage of maintenance crews
Mathematical and Computer Modelling: An International Journal
Compositional real-time models
Journal of Systems Architecture: the EUROMICRO Journal
Convex comparison of service disciplines in real time queues
Operations Research Letters
A distributed object platform infrastructure for multimedia applications
Computer Communications
Dynamic real-time channel establishment in multiple access bus networks
Computer Communications
QBox: guaranteeing I/O performance on black box storage systems
Proceedings of the 21st international symposium on High-Performance Parallel and Distributed Computing
Schemes for scheduling control messages by hierarchical protocols
Computer Communications
Operating system support for multimedia systems
Computer Communications
Policy based resource allocation in IaaS cloud
Future Generation Computer Systems
On a periodic maintenance problem
Operations Research Letters
International Journal of Communication Systems
MORPHOSYS: Efficient Colocation of QoS-Constrained Workloads in the Cloud
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
State-based scheduling with tree schedules: analysis and evaluation
Real-Time Systems
Virtualised e-Learning on the IRMOS real-time Cloud
Service Oriented Computing and Applications
Observation tools for effective schedules in a RTOS
ACM SIGBED Review - 2nd Workshop on Embed With Linux (EWiLi 2012)
Journal of Systems and Software
The communication complexity of distributed task allocation
PODC '12 Proceedings of the 2012 ACM symposium on Principles of distributed computing
Deadline-aware datacenter tcp (D2TCP)
Proceedings of the ACM SIGCOMM 2012 conference on Applications, technologies, architectures, and protocols for computer communication
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Performance evaluation of the earliest deadline first policy over ad hoc networks
International Journal of Ad Hoc and Ubiquitous Computing
Assigning sporadic tasks to unrelated parallel machines
ICALP'12 Proceedings of the 39th international colloquium conference on Automata, Languages, and Programming - Volume Part I
Overload provisioning in mixed-criticality cyber-physical systems
ACM Transactions on Embedded Computing Systems (TECS)
Optimization of task allocation and priority assignment in hard real-time distributed systems
ACM Transactions on Embedded Computing Systems (TECS)
Adaptive real-time scheduling for legacy multimedia applications
ACM Transactions on Embedded Computing Systems (TECS)
Softshell: dynamic scheduling on GPUs
ACM Transactions on Graphics (TOG) - Proceedings of ACM SIGGRAPH Asia 2012
An object-oriented application framework for the development of real-time systems
TOOLS'12 Proceedings of the 50th international conference on Objects, Models, Components, Patterns
Scheduling processing of real-time data streams on heterogeneous multi-GPU systems
Proceedings of the 5th Annual International Systems and Storage Conference
Efficient scheduling for periodic aggregation queries in multihop sensor networks
IEEE/ACM Transactions on Networking (TON)
Extreme Runtimes of Schedules Modelled by Time Petri Nets
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2004)
A Method to Prove Non-Reachability in Priority Duration Petri Nets
Fundamenta Informaticae
Verification of Non-functional Properties of a Composable Architecture with Petrinets
Fundamenta Informaticae - Concurrency Specification and Programming Workshop (CS&P'2001)
Deadline-aware datacenter tcp (D2TCP)
ACM SIGCOMM Computer Communication Review - Special october issue SIGCOMM '12
General Response Time Computation for the Deadline Driven Scheduling of Periodic Tasks
Fundamenta Informaticae
Server-based scheduling of parallel real-time tasks
Proceedings of the tenth ACM international conference on Embedded software
Feedback thermal control of real-time systems on multicore processors
Proceedings of the tenth ACM international conference on Embedded software
Proceedings of the tenth ACM international conference on Embedded software
Computer Networks: The International Journal of Computer and Telecommunications Networking
Energy-efficient tasks scheduling algorithm for real-time multiprocessor embedded systems
The Journal of Supercomputing
Proceedings of the 15th ACM international conference on Modeling, analysis and simulation of wireless and mobile systems
Memory-centric scheduling for multicore hard real-time systems
Real-Time Systems
Bell Labs Technical Journal
A framework accommodating categorized multiprocessor real-time scheduling in the RTSJ
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems
Ji.Fi: visual test and debug queries for hard real-time
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems
Algorithms and complexity for periodic real-time scheduling
ACM Transactions on Algorithms (TALG)
An integrated and flexible scheduler for sensor grids
UIC'07 Proceedings of the 4th international conference on Ubiquitous Intelligence and Computing
Optimization for real-time systems with non-convex power versus speed models
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Semantics-preserving implementation of multirate mixed-criticality synchronous programs
Proceedings of the 20th International Conference on Real-Time and Network Systems
Reducing the gap between design and scheduling
Proceedings of the 20th International Conference on Real-Time and Network Systems
Resource augmentation for fault-tolerance feasibility of real-time tasks under error bursts
Proceedings of the 20th International Conference on Real-Time and Network Systems
Combining network calculus and scheduling theory to improve delay bounds
Proceedings of the 20th International Conference on Real-Time and Network Systems
Real-time scheduling with resource sharing on uniform multiprocessors
Proceedings of the 20th International Conference on Real-Time and Network Systems
Proceedings of the 20th International Conference on Real-Time and Network Systems
Linear transformation of conditional real-time tasks
Proceedings of the 20th International Conference on Real-Time and Network Systems
A greedy algorithm for combined scheduling of computations and data exchanges in real-time systems
Journal of Computer and Systems Sciences International
Efficient computation of quantitative properties of real-time preemptive systems
International Journal of Critical Computer-Based Systems
Time petri nets for schedulability analysis of the transactional event handlers of P-FRP
Proceedings of the 2012 ACM Research in Applied Computation Symposium
Proceedings of the 2012 ACM Research in Applied Computation Symposium
Sustainability in static-priority restricted-migration scheduling
Proceedings of the 2012 ACM Research in Applied Computation Symposium
Applicability of real-time schedulability analysis on a software radio protocol
Proceedings of the 2012 ACM conference on High integrity language technology
Multi-core fixed priority DVS scheduling
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part I
A configuration approach for IMA systems
SEFM'12 Proceedings of the 10th international conference on Software Engineering and Formal Methods
Enabling trusted scheduling in embedded systems
Proceedings of the 28th Annual Computer Security Applications Conference
SymPhoney: a coordinated sensing flow execution engine for concurrent mobile sensing applications
Proceedings of the 10th ACM Conference on Embedded Network Sensor Systems
Response time analysis for static priority based SpaceWire networks
Proceeings of the 2nd International Workshop on Worst-Case Traversal Time
International Journal of Advanced Pervasive and Ubiquitous Computing
Improving flexibility and fault-management in CAN-based "Shared-Clock" architectures
Microprocessors & Microsystems
Energy-aware preemptive scheduling algorithm for sporadic tasks on DVS platform
Microprocessors & Microsystems
Partitioned EDF scheduling on a few types of unrelated multiprocessors
Real-Time Systems
Feasibility interval for the transactional event handlers of P-FRP
Journal of Computer and System Sciences
A study of unpredictability in fault-tolerant middleware
Computer Networks: The International Journal of Computer and Telecommunications Networking
A task routing approach to large-scale scheduling
Future Generation Computer Systems
Resource management for multimedia applications, distributed in open and heterogeneous home networks
Journal of Systems Architecture: the EUROMICRO Journal
Compositional real-time scheduling framework for periodic reward-based task model
Journal of Systems and Software
End-to-end latency computation in a multi-periodic design
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Mathematical formalisms for performance evaluation of networks-on-chip
ACM Computing Surveys (CSUR)
Priority assignment for event-triggered systems using mathematical programming
Proceedings of the Conference on Design, Automation and Test in Europe
Holistic design parameter optimization of multiple periodic resources in hierarchical scheduling
Proceedings of the Conference on Design, Automation and Test in Europe
Mapping on multi/many-core systems: survey of current and emerging trends
Proceedings of the 50th Annual Design Automation Conference
Tessellation: refactoring the OS around explicit resource containers with continuous adaptation
Proceedings of the 50th Annual Design Automation Conference
Integrated instruction cache analysis and locking in multitasking real-time systems
Proceedings of the 50th Annual Design Automation Conference
Exploiting just-enough parallelism when mapping streaming applications in hard real-time systems
Proceedings of the 50th Annual Design Automation Conference
Scheduling of access points for multiple live video streams
Proceedings of the fourteenth ACM international symposium on Mobile ad hoc networking and computing
An EDF run-time profile based on ravenscar
ACM SIGAda Ada Letters
Harmonic semi-partitioned scheduling for fixed-priority real-time tasks on multi-core platform
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Timing modeling with AUTOSAR: current state and future directions
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Challenges and new trends in probabilistic timing analysis
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Lowest priority first based feasibility analysis of real-time systems
Journal of Parallel and Distributed Computing
Proceedings of the International C* Conference on Computer Science and Software Engineering
Contention-free executions for real-time multiprocessor scheduling
ACM Transactions on Embedded Computing Systems (TECS) - Special Section ESFH'12, ESTIMedia'11 and Regular Papers
Joserlin: joint request and service scheduling for peer-to-peer non-linear media access
Proceedings of the 21st ACM international conference on Multimedia
Energy and transition-aware runtime task scheduling for multicore processors
Journal of Parallel and Distributed Computing
Comparative analysis of real time resource access control protocols using UML 2.0
ACM SIGSOFT Software Engineering Notes
The BGW model for QoS aware scheduling of real-time embedded systems
Proceedings of the 11th ACM international symposium on Mobility management and wireless access
Limited carry-in technique for real-time multi-core scheduling
Journal of Systems Architecture: the EUROMICRO Journal
The FTG+PM framework for multi-paradigm modelling: an automotive case study
Proceedings of the 6th International Workshop on Multi-Paradigm Modeling
Scheduling algorithm with energy-response trade-off considerations for mixed task sets
Proceedings of the 2013 Research in Adaptive and Convergent Systems
Towards optimal priority assignments for the transactional event handlers of P-FRP
Proceedings of the 2013 Research in Adaptive and Convergent Systems
Real-time scheduling in cloud-based virtualized software systems
Proceedings of the Second Nordic Symposium on Cloud Computing & Internet Technologies
Proceedings of the 21st International conference on Real-Time Networks and Systems
Best-case response times and jitter analysis of real-time tasks with arbitrary deadlines
Proceedings of the 21st International conference on Real-Time Networks and Systems
Fixed-priority scheduling of dual-criticality systems
Proceedings of the 21st International conference on Real-Time Networks and Systems
Approximation scheme for real-time tasks under fixed-priority scheduling with deferred preemption
Proceedings of the 21st International conference on Real-Time Networks and Systems
Optimal procrastination interval for constrained deadline sporadic tasks upon uniprocessors
Proceedings of the 21st International conference on Real-Time Networks and Systems
Are virtual channels the bottleneck of priority-aware wormhole-switched NoC-based many-cores?
Proceedings of the 21st International conference on Real-Time Networks and Systems
Applying language-based static verification in an ARM operating system
ACM SIGBED Review - Special Issue on the Work-in-Progress (WiP) session of the 33rd IEEE Real-Time Systems Symposium (RTSS'12)
Proceedings of the 6th International Workshop on Models and Evolution
Proceedings of the 4th annual Symposium on Cloud Computing
Automating exercise generation: a step towards meeting the MOOC challenge for embedded systems
Proceedings of the Workshop on Embedded and Cyber-Physical Systems Education
A survey on standards for real-time distribution middleware
ACM Computing Surveys (CSUR)
Reliable workflow scheduling with less resource redundancy
Parallel Computing
Fault-tolerant scheduling in homogeneous real-time systems
ACM Computing Surveys (CSUR)
Adaptive scheduling of real-time systems cosupplied by renewable and nonrenewable energy sources
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on ESTIMedia'10
Tractable schedulability analysis and resource allocation for real-time multimodal systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section ESFH'12, ESTIMedia'11 and Regular Papers
Stability-aware analysis and design of embedded control systems
Proceedings of the Eleventh ACM International Conference on Embedded Software
On queues with impatience: stability, and the optimality of Earliest Deadline First
Queueing Systems: Theory and Applications
Exploring system architectures in AADL via Polychrony and SynDEx
Frontiers of Computer Science: Selected Publications from Chinese Universities
Building timing predictable embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Partitioned EDF scheduling: a closer look
Real-Time Systems
Journal of Systems Architecture: the EUROMICRO Journal
Multi-layered scheduling of mixed-criticality cyber-physical systems
Journal of Systems Architecture: the EUROMICRO Journal
Expert Systems with Applications: An International Journal
Effective real-time scheduling algorithm for cyber physical systems society
Future Generation Computer Systems
On the design space exploration through the Hellfire Framework
Journal of Systems Architecture: the EUROMICRO Journal
A virtualized separation kernel for mixed criticality systems
Proceedings of the 10th ACM SIGPLAN/SIGOPS international conference on Virtual execution environments
Journal of Systems Architecture: the EUROMICRO Journal
Demand-based schedulability analysis for real-time multi-core scheduling
Journal of Systems and Software
Automatica (Journal of IFAC)
On scheduling data access with cooperative load balancing in vehicular ad hoc networks (VANETs)
The Journal of Supercomputing
Real-Time Data Delivery Using Prediction Mechanism in Mobile Environments
Wireless Personal Communications: An International Journal
Software and Systems Modeling (SoSyM)
Journal of Network and Computer Applications
Simulation framework for real-time database on WSNs
Journal of Network and Computer Applications
Jitter minimization in scheduling computations in real-time systems
Programming and Computing Software
Some algorithms for analysis and synthesis of real-time multiprocessor computing systems
Programming and Computing Software
Fair bandwidth sharing among virtual machines in a multi-criticality scope
ACM SIGBED Review - Special Issue on the 5th Workshop on Adaptive and Reconfigurable Embedded Systems
Adaptive EDF: using predictive execution time
ACM SIGBED Review - Special Issue on the 5th Workshop on Adaptive and Reconfigurable Embedded Systems
Mixed-criticality scheduling on multiprocessors
Real-Time Systems
Task assignment algorithms for two-type heterogeneous multiprocessors
Real-Time Systems
FORMAL MODELLING OF REAL-TIME EMBEDDED AUTOMOTIVE ARCHITECTURE
Journal of Integrated Design & Process Science
A review of fixed priority and EDF scheduling for hard real-time uniprocessor systems
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
Scheduling and thread management with RTEMS
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
Low-power scheduling with DVFS for common RTOS on multicore platforms
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
Scheduling analysis from architectural models of embedded multi-processor systems
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
ADOK: a minimal object oriented real-time operating system in C++
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
GRASS: trimming stragglers in approximation analytics
NSDI'14 Proceedings of the 11th USENIX Conference on Networked Systems Design and Implementation
Hi-index | 0.35 |
The problem of multiprogram scheduling on a single processor is studied from the viewpoint of the characteristics peculiar to the program functions that need guaranteed service. It is shown that an optimum fixed priority scheduler possesses an upper bound to processor utilization which may be as low as 70 percent for large task sets. It is also shown that full processor utilization can be achieved by dynamically assigning priorities on the basis of their current deadlines. A combination of these two scheduling techniques is also discussed.