Clock rate versus IPC: the end of the road for conventional microarchitectures

  • Authors:
  • Vikas Agarwal;M. S. Hrishikesh;Stephen W. Keckler;Doug Burger

  • Affiliations:
  • Computer Architecture and Technology Laboratory, Department of Computer Sciences, The University of Texas at Austin;Computer Architecture and Technology Laboratory, Department of Computer Sciences, The University of Texas at Austin;Computer Architecture and Technology Laboratory, Department of Computer Sciences, The University of Texas at Austin;Computer Architecture and Technology Laboratory, Department of Computer Sciences, The University of Texas at Austin

  • Venue:
  • Proceedings of the 27th annual international symposium on Computer architecture
  • Year:
  • 2000

Quantified Score

Hi-index 0.03

Visualization

Abstract

The doubling of microprocessor performance every three years has been the result of two factors: more transistors per chip and superlinear scali ng of the processor clock with technology generation. Our results show that, due to both diminishing improvements in clock rates and poor wire scaling as semiconductor devices shrink, the achievable performance growth of conventional microarchitectures will slow substantially. In this paper, we describe technology-driven models for wire capacitance, wire delay, and microarchitectural component delay. Using the results of these models, we measure the simulated performance—estimating both clock rate and IPC —of an aggressive out-of-order microarchitecture as it is scaled from a 250nm technology to a 35nm technology. We perform this analysis for three clock scaling targets and two microarchitecture scaling strategies: pipeline scaling and capacity scaling. We find that no scaling strategy permits annual performance improvements of better than 12.5%, which is far worse than the annual 50-60% to which we have grown accustomed.