Multiscattering on the cube-connected cycles
Parallel Computing
Inference of Integrated Surface, Curve, and Junction Descriptions From Sparse 3D Data
IEEE Transactions on Pattern Analysis and Machine Intelligence
Misleading intuition in algorithmic problem solving
Proceedings of the thirty-second SIGCSE technical symposium on Computer Science Education
Performance improvement of multi-processor systems cosimulation based on SW analysis
Proceedings of the conference on Design, automation and test in Europe
Performance-optimal clustering with retiming for sequential circuits
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Source-oriented topology aggregation with multiple QoS parameters in hierarchical networks
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Discovering critical edge sequences in E-commerce catalogs
Proceedings of the 3rd ACM conference on Electronic Commerce
Proceedings of the tenth international conference on Information and knowledge management
New dynamic SPT algorithm based on a ball-and-string model
IEEE/ACM Transactions on Networking (TON)
Inertial and magnetic posture tracking for inserting humans into networked virtual environments
VRST '01 Proceedings of the ACM symposium on Virtual reality software and technology
Coarse Grained Parallel On-Line Analytical Processing (OLAP) for Data Mining
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
Efficient Real-Time Model Checking Using Tabled Logic Programming and Constraints
ICLP '02 Proceedings of the 18th International Conference on Logic Programming
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Fast and Accurate Phylogeny Reconstruction Algorithms Based on the Minimum-Evolution Principle
WABI '02 Proceedings of the Second International Workshop on Algorithms in Bioinformatics
Compositional Message Sequence Charts
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Deciding Separation Formulas with SAT
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Run-Time Performance Optimization of an FPGA-Based Deduction Engine for SAT Solvers
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
X-Routing using Two Manhattan Route Instances
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Parallel Computing - Heterogeneous computing
Research note: Networks for sorting multitonic sequences
Journal of Parallel and Distributed Computing
Automatic summarization of music videos
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
ACM Transactions on Design Automation of Electronic Systems (TODAES)
GPUTeraSort: high performance graphics co-processor sorting for large database management
Proceedings of the 2006 ACM SIGMOD international conference on Management of data
Meet and merge: Approximation algorithms for confluent flows
Journal of Computer and System Sciences - Special issue on network algorithms 2005
A New Convexity Measure Based on a Probabilistic Interpretation of Images
IEEE Transactions on Pattern Analysis and Machine Intelligence
Towards robust indexing for ranked queries
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Approximate algorithms for K-anonymity
Proceedings of the 2007 ACM SIGMOD international conference on Management of data
Leveraging aggregate constraints for deduplication
Proceedings of the 2007 ACM SIGMOD international conference on Management of data
Fast and practical indexing and querying of very large graphs
Proceedings of the 2007 ACM SIGMOD international conference on Management of data
TIED, LibsafePlus: tools for runtime buffer overflow protection
SSYM'04 Proceedings of the 13th conference on USENIX Security Symposium - Volume 13
Robust TCP stream reassembly in the presence of adversaries
SSYM'05 Proceedings of the 14th conference on USENIX Security Symposium - Volume 14
t-Spanners for metric space searching
Data & Knowledge Engineering
Proceedings of the 22nd annual ACM SIGPLAN conference on Object-oriented programming systems and applications
Advanced Engineering Informatics
Computing visibility on terrains in external memory
Journal of Experimental Algorithmics (JEA)
p-Percent Coverage in Wireless Sensor Networks
WASA '08 Proceedings of the Third International Conference on Wireless Algorithms, Systems, and Applications
Detecting critical nodes in sparse graphs
Computers and Operations Research
Indexing Method for Transitive Relationships of Product Information
WI-IAT '08 Proceedings of the 2008 IEEE/WIC/ACM International Conference on Web Intelligence and Intelligent Agent Technology - Volume 03
Lists revisited: Cache-conscious STL lists
Journal of Experimental Algorithmics (JEA)
Small stretch (α,β)-spanners in the streaming model
Theoretical Computer Science
Fast minimum-weight double-tree shortcutting for metric TSP: Is the best one good enough?
Journal of Experimental Algorithmics (JEA)
On the round complexity of Byzantine agreement without initial set-up
Information and Computation
Parallel culling and sorting based on adaptive static balancing
Computers in Entertainment (CIE) - SPECIAL ISSUE: Games
Automated freehand sketch segmentation using radial basis functions
Computer-Aided Design
SPARCL: an effective and efficient algorithm for mining arbitrary shape-based clusters
Knowledge and Information Systems
Comparing integer data structures for 32- and 64-bit keys
Journal of Experimental Algorithmics (JEA)
Obstacle-avoiding rectilinear Steiner tree construction based on Steiner point selection
Proceedings of the 2009 International Conference on Computer-Aided Design
An efficient wakeup scheduling considering resource constraint for sensor-based power gating designs
Proceedings of the 2009 International Conference on Computer-Aided Design
Taming irregular EDA applications on GPUs
Proceedings of the 2009 International Conference on Computer-Aided Design
Time-minimal path planning in dynamic current fields
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Sorting and searching using lisp, functional programming, and recursion
ACM SIGCSE Bulletin
Intelligent understanding of handwritten geometry theorem proving
Proceedings of the 15th international conference on Intelligent user interfaces
Discounted deterministic Markov decision processes and discounted all-pairs shortest paths
ACM Transactions on Algorithms (TALG)
Evaluating the Kernighan-Lin Heuristic for Hardware/Software Partitioning
International Journal of Applied Mathematics and Computer Science
Fundamenta Informaticae - Advances in Computational Logic (CIL C08)
Enhanced double via insertion using wire bending
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Battery-aware depth-first search routing for streaming data transmissions in WSNs
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
A PSO-based topology control algorithm in wireless sensor networks
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
A heuristic routing protocol for wireless sensor networks in home automation
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Analysis of an asymmetric mutation operator
Evolutionary Computation
Maintaining dynamic minimum spanning trees: An experimental study
Discrete Applied Mathematics
Graphical properties of easily localizable sensor networks
Wireless Networks
A minutia-based partial fingerprint recognition system
Pattern Recognition
Backdoor attacks on black-box ciphers exploiting low-entropy plaintexts
ACISP'03 Proceedings of the 8th Australasian conference on Information security and privacy
Business process learning for real time enterprises
BIRTE'06 Proceedings of the 1st international conference on Business intelligence for the real-time enterprises
A lazy version of Eppstein's K shortest paths algorithm
WEA'03 Proceedings of the 2nd international conference on Experimental and efficient algorithms
Computers and Operations Research
Phase transition and the computational complexity of generating r-contiguous detectors
ICARIS'07 Proceedings of the 6th international conference on Artificial immune systems
An efficient search strategy for feature selection using Chow-Liu trees
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Prefix-shuffled geometric suffix tree
SPIRE'07 Proceedings of the 14th international conference on String processing and information retrieval
Szemerédi's regularity lemma and its applications to pairwise clustering and segmentation
EMMCVPR'07 Proceedings of the 6th international conference on Energy minimization methods in computer vision and pattern recognition
Adaptive expression based routing protocol for P2P systems
OTM'07 Proceedings of the 2007 OTM Confederated international conference on On the move to meaningful internet systems - Volume Part II
OPODIS'07 Proceedings of the 11th international conference on Principles of distributed systems
Social networking to support collaboration in computational grids
OTM'07 Proceedings of the 2007 OTM confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part II
Detecting and clustering multiple takes of one scene
MMM'08 Proceedings of the 14th international conference on Advances in multimedia modeling
Constrained clustering for gene expression data mining
PAKDD'08 Proceedings of the 12th Pacific-Asia conference on Advances in knowledge discovery and data mining
A fast algorithm to calculate powers of a Boolean matrix for diameter computation of random graphs
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
Optimal algorithms for detecting network stability
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
WALCOM'08 Proceedings of the 2nd international conference on Algorithms and computation
An approach to event-driven algorithm for intelligent agents in multi-agent systems
KES-AMSTA'08 Proceedings of the 2nd KES International conference on Agent and multi-agent systems: technologies and applications
A clustering model for multicast on hypercube network
GPC'08 Proceedings of the 3rd international conference on Advances in grid and pervasive computing
On watershed cuts and thinnings
DGCI'08 Proceedings of the 14th IAPR international conference on Discrete geometry for computer imagery
Secure group communication using binomial trees
ANTS'09 Proceedings of the 3rd international conference on Advanced networks and telecommunication systems
Data path refinement algorithm in high-level synthesis based on dynamic programming
IIT'09 Proceedings of the 6th international conference on Innovations in information technology
Efficient top-k search across heterogeneous XML data sources
DASFAA'08 Proceedings of the 13th international conference on Database systems for advanced applications
An environment-aware mobility model for wireless ad hoc network
Computer Networks: The International Journal of Computer and Telecommunications Networking
CIRA'09 Proceedings of the 8th IEEE international conference on Computational intelligence in robotics and automation
Tree-based protection of multicast services in WDM mesh networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Strong barrier coverage with directional sensors
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Minimum-length scheduling for multicast traffic under channel uncertainty
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A new data streaming method for locating hosts with large connection degree
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
HONET'09 Proceedings of the 6th international conference on High capacity optical networks and enabling technologies
Optimal string edit distance based test suite reduction for SDL specifications
SDL'09 Proceedings of the 14th international SDL conference on Design for motes and mobiles
New bounds for the controller problem
DISC'09 Proceedings of the 23rd international conference on Distributed computing
Sweeping fingerprint verification system based on template matching
ICNVS'10 Proceedings of the 12th international conference on Networking, VLSI and signal processing
Scalable concurrent hash tables via relativistic programming
ACM SIGOPS Operating Systems Review
A novel radio resource allocation scheme with delay considerations for OFDMA wireless networks
Sarnoff'10 Proceedings of the 33rd IEEE conference on Sarnoff
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
A sweeping fingerprint verification system using the template matching method
WSEAS Transactions on Computers
Genome-wide compatible SNP intervals and their properties
Proceedings of the First ACM International Conference on Bioinformatics and Computational Biology
Grammar-based geodesics in semantic networks
Knowledge-Based Systems
Fault tolerant optimal path for data delivery in wireless sensor networks
Proceedings of the 1st Amrita ACM-W Celebration on Women in Computing in India
Route planning for agent-based information retrieval
Computational Optimization and Applications
Multi-objective and multi-constrained non-additive shortest path problems
Computers and Operations Research
Integration, the VLSI Journal
A parallel dimensionality reduction for time-series data and some of its applications
International Journal of Intelligent Information and Database Systems
On the scalability of Delay-Tolerant Botnets
International Journal of Security and Networks
Multi-constraint Qos Unicast Routing Using Genetic Algorithm (MURUGA)
Applied Soft Computing
ICOSSSE'10 Proceedings of the 9th WSEAS international conference on System science and simulation in engineering
A new approach on caching based routing for mobile networks
Proceedings of the 2011 International Conference on Communication, Computing & Security
Efficient authentication for mobile and pervasive computing
ICICS'10 Proceedings of the 12th international conference on Information and communications security
Nonutilization bounds and feasible regions for arbitrary fixed-priority policies
ACM Transactions on Embedded Computing Systems (TECS)
Proceedings of the 2010 Workshop on Parallel Programming Patterns
Towards using and improving the NAS parallel benchmarks: a parallel patterns approach
Proceedings of the 2010 Workshop on Parallel Programming Patterns
BDD decomposition for delay oriented pass transistor logic synthesis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Generative models for rapid information propagation
Proceedings of the First Workshop on Social Media Analytics
Is co-scheduling too expensive for SMP VMs?
Proceedings of the sixth conference on Computer systems
Analysis of evolutionary algorithms: from computational complexity analysis to algorithm engineering
Proceedings of the 11th workshop proceedings on Foundations of genetic algorithms
Toward automated evaluation of interactive segmentation
Computer Vision and Image Understanding
Contracting preference relations for database applications
Artificial Intelligence
Evolutionary repair of faulty software
Applied Soft Computing
New optimal layer assignment for bus-oriented escape routing
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
Taxi pick-ups route optimization using genetic algorithms
ICANNGA'11 Proceedings of the 10th international conference on Adaptive and natural computing algorithms - Volume Part I
Maximum information stored in a labeled connected network with minimum edges
Journal of Global Optimization
QoS-Aware Server Provisioning for Large-Scale Distributed Virtual Environments
PADS '10 Proceedings of the 2010 IEEE Workshop on Principles of Advanced and Distributed Simulation
Benefits of bias: towards better characterization of network sampling
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
Self-reconfigurable modular robots and their symbolic configuration space
FOCS'10 Proceedings of the 16th Monterey conference on Foundations of computer software: modeling, development, and verification of adaptive systems
Quickshear defacing for neuroimages
HealthSec'11 Proceedings of the 2nd USENIX conference on Health security and privacy
Geospatial knowledge discovery framework for crime domain
Transactions on computational science XIII
Test Planning in Digital Microfluidic Biochips Using Efficient Eulerization Techniques
Journal of Electronic Testing: Theory and Applications
Multiobjective network topology design
Applied Soft Computing
SRLG failure localization in optical networks
IEEE/ACM Transactions on Networking (TON)
A non-intrusive method for copy-move forgery detection
ISVC'11 Proceedings of the 7th international conference on Advances in visual computing - Volume Part II
On-line construction of position heaps
SPIRE'11 Proceedings of the 18th international conference on String processing and information retrieval
Parallelizing a new algorithm for the set partition problem
Annales UMCS, Informatica
A hybrid data and space partitioning technique for similarity queries on bounded clusters
PReMI'05 Proceedings of the First international conference on Pattern Recognition and Machine Intelligence
Estimating network offered load for optical burst switching networks
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
A direct algorithm to find a largest common connected induced subgraph of two graphs
GbRPR'05 Proceedings of the 5th IAPR international conference on Graph-Based Representations in Pattern Recognition
A modern graphic flowchart layout tool
ICDCIT'04 Proceedings of the First international conference on Distributed Computing and Internet Technology
A precise analysis of Cuckoo hashing
ACM Transactions on Algorithms (TALG)
Yet another decision procedure for equality logic
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Kineograph: taking the pulse of a fast-changing and connected world
Proceedings of the 7th ACM european conference on Computer Systems
A task parallel algorithm for finding all-pairs shortest paths using the GPU
International Journal of High Performance Computing and Networking
Matrix chain multiplication via multi-way join algorithms in MapReduce
Proceedings of the 6th International Conference on Ubiquitous Information Management and Communication
Language-guided controller synthesis for discrete-time linear systems
Proceedings of the 15th ACM international conference on Hybrid Systems: Computation and Control
Distributed graph pattern matching
Proceedings of the 21st international conference on World Wide Web
Exact and approximate algorithms for the most connected vertex problem
ACM Transactions on Database Systems (TODS)
ACM Transactions on Database Systems (TODS)
Multi-assignment clustering for boolean data
The Journal of Machine Learning Research
DBSMOTE: Density-Based Synthetic Minority Over-sampling TEchnique
Applied Intelligence
A configuration deactivation algorithm for boosting probabilistic roadmap planning of robots
International Journal of Automation and Computing
A fast object-oriented Matlab implementation of the Reproducing Kernel Particle Method
Computational Mechanics
Medical imaging correction: A comparative study of five contrast and brightness matching methods
Computer Methods and Programs in Biomedicine
New optimal layer assignment for bus-oriented escape routing
Integration, the VLSI Journal
PODS '12 Proceedings of the 31st symposium on Principles of Database Systems
Efficient processing of distance queries in large graphs: a vertex cover approach
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
ConsAD: a real-time consistency anomalies detector
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
Dictionary-symbolwise flexible parsing
Journal of Discrete Algorithms
Designing and proving correct a convex hull algorithm with hypermaps in Coq
Computational Geometry: Theory and Applications
Proceedings of the 49th Annual Design Automation Conference
A testing strategy for abstract classes
Software Testing, Verification & Reliability
Flexible and efficient distributed resolution of large entities
FoIKS'12 Proceedings of the 7th international conference on Foundations of Information and Knowledge Systems
Conceptual modeling of flexible temporal workflows
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Rushes video summarization based on spatio-temporal features
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Compression of RDF dictionaries
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Mining probabilistically frequent sequential patterns in uncertain databases
Proceedings of the 15th International Conference on Extending Database Technology
Supporting top-K item exchange recommendations in large online communities
Proceedings of the 15th International Conference on Extending Database Technology
I/O cost minimization: reachability queries processing over massive graphs
Proceedings of the 15th International Conference on Extending Database Technology
Fast and reliable reconstruction of phylogenetic trees with indistinguishable edges
Random Structures & Algorithms
Some assignment problems arising from multiple target tracking
Mathematical and Computer Modelling: An International Journal
Static analysis and compiler design for idempotent processing
Proceedings of the 33rd ACM SIGPLAN conference on Programming Language Design and Implementation
Spatial big-data challenges intersecting mobility and cloud computing
MobiDE '12 Proceedings of the Eleventh ACM International Workshop on Data Engineering for Wireless and Mobile Access
Core Routing on Dynamic Time-Dependent Road Networks
INFORMS Journal on Computing
EvoApplications'12 Proceedings of the 2012t European conference on Applications of Evolutionary Computation
Journal of Parallel and Distributed Computing
Breaking an orbit-based symmetric cryptosystem
Mathematical and Computer Modelling: An International Journal
Operations Research Letters
A list heuristic for vertex cover
Operations Research Letters
A short note on the weighted sub-partition mean of integers
Operations Research Letters
Analysis of the dial-a-ride problem of Hunsaker and Savelsbergh
Operations Research Letters
Performance analysis and dimensioning of multi-granular optical networks
Optical Switching and Networking
A three-tiered node scheduling scheme for sparse sensing in wireless sensor networks
Computer Communications
Fine-grained access control of personal data
Proceedings of the 17th ACM symposium on Access Control Models and Technologies
Full length article: Network capacity of cognitive radio relay network
Physical Communication
Designing reliable algorithms in unreliable memories
Computer Science Review
Computer Science Review
Computer Science Review
The submodular knapsack polytope
Discrete Optimization
On the directed Full Degree Spanning Tree problem
Discrete Optimization
Note: A note on a polynomial time solvable case of the quadratic assignment problem
Discrete Optimization
Finding well-balanced pairs of edge-disjoint trees in edge-weighted graphs
Discrete Optimization
GPU merge path: a GPU merging algorithm
Proceedings of the 26th ACM international conference on Supercomputing
The S-digraph optimization problem and the greedy algorithm
Discrete Optimization
Efficient subgraph matching on billion node graphs
Proceedings of the VLDB Endowment
Multiresolution Hierarchical Path-Planning for Small UAVs Using Wavelet Decompositions
Journal of Intelligent and Robotic Systems
Modeling temporal dimensions of semistructured data
Journal of Intelligent Information Systems
Strictly periodic scheduling in IMA-based architectures
Real-Time Systems
Rerouting in advance reservation networks
Computer Communications
Engineering highway hierarchies
Journal of Experimental Algorithmics (JEA)
A topological sorting algorithm for large graphs
Journal of Experimental Algorithmics (JEA)
CloudGPS: a scalable and ISP-friendly server selection scheme in cloud computing environments
Proceedings of the 2012 IEEE 20th International Workshop on Quality of Service
Revisiting multiple pattern matching algorithms for multi-core architecture
Journal of Computer Science and Technology - Special issue on Community Analysis and Information Recommendation
Uncovering performance problems in Java applications with reference propagation profiling
Proceedings of the 34th International Conference on Software Engineering
On-demand test suite reduction
Proceedings of the 34th International Conference on Software Engineering
Proceedings of the 34th International Conference on Software Engineering
ReBucket: a method for clustering duplicate crash reports based on call stack similarity
Proceedings of the 34th International Conference on Software Engineering
Grounding ecologies on multiple spaces
Pervasive and Mobile Computing
Theoretical Computer Science
An O(nm)-time certifying algorithm for recognizing HHD-free graphs
Theoretical Computer Science
A coarse-grained parallel algorithm for the matrix chain order problem
Proceedings of the 2012 Symposium on High Performance Computing
Space-efficient multiple string matching automata
International Journal of Wireless and Mobile Computing
Recognizing malicious software behaviors with tree automata inference
Formal Methods in System Design
Algorithmic parameterization of mixed treatment comparisons
Statistics and Computing
Wireless Personal Communications: An International Journal
An Optimal Dynamic Data Structure for Stabbing-Semigroup Queries
SIAM Journal on Computing
Querying RDF dictionaries in compressed space
ACM SIGAPP Applied Computing Review
Submodular Approximation: Sampling-based Algorithms and Lower Bounds
SIAM Journal on Computing
SIAM Journal on Discrete Mathematics
Stochastic Optimization of Sensor Placement for Diver Detection
Operations Research
Minimization of the maximum distance between the two guards patrolling a polygonal region
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
Computing maximum non-crossing matching in convex bipartite graphs
FAW-AAIM'12 Proceedings of the 6th international Frontiers in Algorithmics, and Proceedings of the 8th international conference on Algorithmic Aspects in Information and Management
Crawling and detecting community structure in online social networks using local information
IFIP'12 Proceedings of the 11th international IFIP TC 6 conference on Networking - Volume Part I
Quality adaptation in p2p video streaming based on objective qoe metrics
IFIP'12 Proceedings of the 11th international IFIP TC 6 conference on Networking - Volume Part II
On beam search for multicriteria combinatorial optimization problems
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Visualizing clusters in parallel coordinates for visual knowledge discovery
PAKDD'12 Proceedings of the 16th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining - Volume Part I
Robot exploration with fast frontier detection: theory and experiments
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
A new approach to betweenness centrality based on the Shapley Value
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Optimal manipulation of voting rules
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Fixed-Parameter tractability of treewidth and pathwidth
The Multivariate Algorithmic Revolution and Beyond
Coloring Graphs Using Two Colors While Avoiding Monochromatic Cycles
INFORMS Journal on Computing
Global optimization of interdependent turnaround processes at airports
Proceedings of the 2011 Grand Challenges on Modeling and Simulation Conference
Category hierarchy maintenance: a data-driven approach
SIGIR '12 Proceedings of the 35th international ACM SIGIR conference on Research and development in information retrieval
Locating in fingerprint space: wireless indoor localization with little human intervention
Proceedings of the 18th annual international conference on Mobile computing and networking
Symbolic-Event-Propagation-Based Minimal Test Set Generation for Robust Path Delay Faults
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A decision support system for disaster management in buildings
SCSC '09 Proceedings of the 2009 Summer Computer Simulation Conference
K-reach: who is in your small world
Proceedings of the VLDB Endowment
An accurate performance model for network-on-chip and multicomputer interconnection networks
Journal of Parallel and Distributed Computing
A linear-time combinatorial algorithm to find the orthogonal hull of an object on the digital plane
Information Sciences: an International Journal
Architectural abstractions for spaces-based communication in Smart Environments
Journal of Ambient Intelligence and Smart Environments - A software engineering perspective on smart applications for AmI
Bus-driven floorplanning with bus pin assignment and deviation minimization
Integration, the VLSI Journal
Incrementally maintaining run-length encoded attributes in column stores
Proceedings of the 16th International Database Engineering & Applications Sysmposium
Fundamenta Informaticae - Logic, Language, Information and Computation
Analysis of Markov Boundary Induction in Bayesian Networks: A New View From Matroid Theory
Fundamenta Informaticae
Cervical cell classification based exclusively on nucleus features
ICIAR'12 Proceedings of the 9th international conference on Image Analysis and Recognition - Volume Part II
Low cost data gathering using mobile hybrid sensor networks
ADHOC-NOW'12 Proceedings of the 11th international conference on Ad-hoc, Mobile, and Wireless Networks
LICS '12 Proceedings of the 2012 27th Annual IEEE/ACM Symposium on Logic in Computer Science
On Three Alternative Characterizations of Combined Traces
Fundamenta Informaticae - Applications and Theory of Petri Nets and Other Models of Concurrency, 2010
Fundamenta Informaticae
ciForager: Incrementally discovering regions of correlated change in evolving graphs
ACM Transactions on Knowledge Discovery from Data (TKDD)
Thread-Safe priority queues in haskell based on skiplists
TFP'11 Proceedings of the 12th international conference on Trends in Functional Programming
Sneaking around concatMap: efficient combinators for dynamic programming
Proceedings of the 17th ACM SIGPLAN international conference on Functional programming
A New Algorithm for Optimal Path Finding in Complex Networks Based on the Quotient Space
Fundamenta Informaticae
Algorithmic aspects of k-tuple total domination in graphs
Information Processing Letters
Topological ordering algorithm for LDAG
Information Processing Letters
Fundamenta Informaticae - Advances in Computational Logic (CIL C08)
The Chain Relation in Sofic Subshifts
Fundamenta Informaticae
Universality Analysis for One-Clock Timed Automata
Fundamenta Informaticae - Fundamentals of Software Engineering 2007: Selected Contributions
Adaptation of legacy codes to context-aware composition using aspect-oriented programming
SC'12 Proceedings of the 11th international conference on Software Composition
Path Compression in Timed Automata
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
Genome assembler for repetitive sequences
ITIB'12 Proceedings of the Third international conference on Information Technologies in Biomedicine
Operational support in fish farming through case-based reasoning
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
Improving the performance of constructive multi-start search using record-keeping
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
Notions of connectivity in overlay networks
SIROCCO'12 Proceedings of the 19th international conference on Structural Information and Communication Complexity
Strong connectivity of sensor networks with double antennae
SIROCCO'12 Proceedings of the 19th international conference on Structural Information and Communication Complexity
Polynomial-time algorithms for multirate anypath routing in wireless multihop networks
IEEE/ACM Transactions on Networking (TON)
Accelerating multipattern matching on compressed HTTP traffic
IEEE/ACM Transactions on Networking (TON)
Dynamic Behavior of Simple Insertion Sort Algorithm
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
Hole filling of triangular mesh segments using systematic grey prediction
Computer-Aided Design
Computers and Operations Research
Extreme Runtimes of Schedules Modelled by Time Petri Nets
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2004)
Synthesizing iterators from abstraction functions
Proceedings of the 11th International Conference on Generative Programming and Component Engineering
A randomized Numerical Aligner (rNA)
Journal of Computer and System Sciences
Executing SQL queries over encrypted character strings in the Database-As-Service model
Knowledge-Based Systems
First experiences with tutor for recursive algorithm time efficiency analysis
Journal of Computing Sciences in Colleges
Wirelength of hypercubes into certain trees
Discrete Applied Mathematics
Better evaluation for grammatical error correction
NAACL HLT '12 Proceedings of the 2012 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies
Efficient Entity Translation Mining: A Parallelized Graph Alignment Approach
ACM Transactions on Information Systems (TOIS)
Targeted and scalable information dissemination in a distributed reputation mechanism
Proceedings of the seventh ACM workshop on Scalable trusted computing
Multiple encoding of a watermark number into reducible permutation graphs using cotrees
Proceedings of the 13th International Conference on Computer Systems and Technologies
An approach for indexing file names in a directory
Proceedings of the 13th International Conference on Computer Systems and Technologies
Compact, fast and robust grids for ray tracing
EGSR'08 Proceedings of the Nineteenth Eurographics conference on Rendering
Multi-image based photon tracing for interactive global illumination of dynamic scenes
EGSR'10 Proceedings of the 21st Eurographics conference on Rendering
EGSR'10 Proceedings of the 21st Eurographics conference on Rendering
Elixir: a system for synthesizing concurrent graph programs
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Lossless geometry compression for steady-state and time-varying irregular grids
EUROVIS'06 Proceedings of the Eighth Joint Eurographics / IEEE VGTC conference on Visualization
Model-based pairwise testing for feature interaction coverage in software product line engineering
Software Quality Control
The Journal of Supercomputing
International Journal of Computer Vision
Sketch-based volumetric seeded region growing
SBM'06 Proceedings of the Third Eurographics conference on Sketch-Based Interfaces and Modeling
A barcode shape descriptor for curve point cloud data
SPBG'04 Proceedings of the First Eurographics conference on Point-Based Graphics
Pseudorandom noise for real-time volumetric rendering of fire in a production system
SPBG'08 Proceedings of the Fifth Eurographics / IEEE VGTC conference on Point-Based Graphics
An optical solution to the 3-SAT problem using wavelength based selectors
The Journal of Supercomputing
Spatial query processing for fuzzy objects
The VLDB Journal — The International Journal on Very Large Data Bases
Stanford: probabilistic edit distance metrics for STS
SemEval '12 Proceedings of the First Joint Conference on Lexical and Computational Semantics - Volume 1: Proceedings of the main conference and the shared task, and Volume 2: Proceedings of the Sixth International Workshop on Semantic Evaluation
Resource management in satellite communication systems: heuristic schemes and algorithms
Journal of Electrical and Computer Engineering - Special issue on Resource Allocation in Communications and Computing
Computing all-pairs shortest paths by leveraging low treewidth
Journal of Artificial Intelligence Research
Avoiding and escaping depressions in real-time heuristic search
Journal of Artificial Intelligence Research
Classification of polymorphic and metamorphic malware samples based on their behavior
Proceedings of the Fifth International Conference on Security of Information and Networks
Gestures as point clouds: a $P recognizer for user interface prototypes
Proceedings of the 14th ACM international conference on Multimodal interaction
A divide and conquer strategy for scaling weather simulations with multiple regions of interest
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Bamboo: translating MPI applications to a latency-tolerant, data-driven form
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
A new scalable parallel DBSCAN algorithm using the disjoint-set data structure
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
On distributed file tree walk of parallel file systems
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Adaptive paging schemes for group calls in mobile broadband cellular systems
Wireless Communications & Mobile Computing
Cycle detection and correction
ACM Transactions on Algorithms (TALG)
Exploiting and Maintaining Materialized Views for XML Keyword Queries
ACM Transactions on Internet Technology (TOIT)
Efficient tree-based approximation for entailment graph learning
ACL '12 Proceedings of the 50th Annual Meeting of the Association for Computational Linguistics: Long Papers - Volume 1
Probabilistic finite state machines for regression-based MT evaluation
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
A new algorithm for identifying loops in decompilation
SAS'07 Proceedings of the 14th international conference on Static Analysis
A context-dependent XML compression approach to enable business applications on mobile devices
Euro-Par'07 Proceedings of the 13th international Euro-Par conference on Parallel Processing
Efficient and accurate construction of genetic linkage maps from noisy and missing genotyping data
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
A novel method for signal transduction network inference from indirect experimental evidence
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
Block-based allocation algorithms for FLASH memory in embedded systems
PaCT'07 Proceedings of the 9th international conference on Parallel Computing Technologies
On approximation of bookmark assignments
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
SPEDE: probabilistic edit distance metrics for MT evaluation
WMT '12 Proceedings of the Seventh Workshop on Statistical Machine Translation
Cost-aware caching algorithms for distributed storage servers
DISC'07 Proceedings of the 21st international conference on Distributed Computing
Longest common separable pattern among permutations
CPM'07 Proceedings of the 18th annual conference on Combinatorial Pattern Matching
An improved algorithm for online unit clustering
COCOON'07 Proceedings of the 13th annual international conference on Computing and Combinatorics
Improved algorithms for the feedback vertex set problems
WADS'07 Proceedings of the 10th international conference on Algorithms and Data Structures
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
Coherent image selection using a fast approximation to the generalized traveling salesman problem
Proceedings of the 20th ACM international conference on Multimedia
MAPLE: a scalable architecture for maintaining packet latency measurements
Proceedings of the 2012 ACM conference on Internet measurement conference
Enhancing community detection using a network weighting strategy
Information Sciences: an International Journal
Learning secrets interactively. Dynamic modeling in inductive inference
Information and Computation
Large scale simulation for human evacuation and rescue
Computers & Mathematics with Applications
Robust planning of airport platform buses
Computers and Operations Research
Scheduling management in wireless mesh networks
APNOMS'07 Proceedings of the 10th Asia-Pacific conference on Network Operations and Management Symposium: managing next generation networks and services
An approach to parallel class expression learning
RuleML'12 Proceedings of the 6th international conference on Rules on the Web: research and applications
ESA'12 Proceedings of the 20th Annual European conference on Algorithms
2.1 depth estimation of frames in image sequences using motion occlusions
ECCV'12 Proceedings of the 12th international conference on Computer Vision - Volume Part III
Achieving high job execution reliability using underutilized resources in a computational economy
Future Generation Computer Systems
Timed protocol insecurity problem is NP-complete
Future Generation Computer Systems
AICI'12 Proceedings of the 4th international conference on Artificial Intelligence and Computational Intelligence
Computing longest common subsequences with the B-cell algorithm
ICARIS'12 Proceedings of the 11th international conference on Artificial Immune Systems
SOMM – self-organized manifold mapping
ICANN'12 Proceedings of the 22nd international conference on Artificial Neural Networks and Machine Learning - Volume Part II
Inductive complexity of p versus NP problem
UCNC'12 Proceedings of the 11th international conference on Unconventional Computation and Natural Computation
Synthesis of realistic subcortical anatomy with known surface deformations
MeshMed'12 Proceedings of the 2012 international conference on Mesh Processing in Medical Image Analysis
Random Structures & Algorithms
Large-Scale Signaling Network Reconstruction
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Energy-aware routing in hybrid optical network-on-chip for future multi-processor system-on-chip
Journal of Parallel and Distributed Computing
Clustering with local restrictions
Information and Computation
EuroVis'09 Proceedings of the 11th Eurographics / IEEE - VGTC conference on Visualization
Computational Geometry: Theory and Applications
GPSView: A scenic driving route planner
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Consistency and repair for XML write-access control policies
The VLDB Journal — The International Journal on Very Large Data Bases
Summarizing trajectories into k-primary corridors: a summary of results
Proceedings of the 20th International Conference on Advances in Geographic Information Systems
Optimal guessing of an object by expert system
Journal of Computer and Systems Sciences International
Parameterized top-K algorithms
Theoretical Computer Science
Searching short recurrences of nonlinear shift registers via directed acyclic graphs
Inscrypt'11 Proceedings of the 7th international conference on Information Security and Cryptology
Set-Similarity joins based semi-supervised sentiment analysis
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part I
DISC'12 Proceedings of the 26th international conference on Distributed Computing
Intricacies of quantum computational paths
Quantum Information Processing
Proceedings of the Winter Simulation Conference
Achieving scalable model-based testing through test case diversity
ACM Transactions on Software Engineering and Methodology (TOSEM)
Code coverage using intelligent water drop (IWD)
International Journal of Bio-Inspired Computation
On the detection of tracks in spectrogram images
Pattern Recognition
An Optimal Balanced Partitioning of a Set of 1D Intervals
International Journal of Artificial Life Research
International Journal of Applied Metaheuristic Computing
Scalable high-throughput architecture for large balanced tree structures on FPGA (abstract only)
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
A Graphical Tool for Describing the Temporal Evolution of Clusters in Financial Stock Markets
Computational Economics
Is standard multivariate analysis sufficient in clinical and epidemiological studies?
Journal of Biomedical Informatics
Exact output rate of Peres's algorithm for random number generation
Information Processing Letters
New Strategies and Extensions in Kruskal's Algorithm in Multicast Routing
International Journal of Business Data Communications and Networking
Cache-Oblivious dictionaries and multimaps with negligible failure probability
MedAlg'12 Proceedings of the First Mediterranean conference on Design and Analysis of Algorithms
User behavior shift detection in intelligent environments
IWAAL'12 Proceedings of the 4th international conference on Ambient Assisted Living and Home Care
An update-based step-wise optimal cache replacement for wireless data access
Computer Networks: The International Journal of Computer and Telecommunications Networking
Protecting multicast services in optical internet backbones
Computer Networks: The International Journal of Computer and Telecommunications Networking
ACM Transactions on Algorithms (TALG)
International Journal of Interdisciplinary Telecommunications and Networking
International Journal of Interdisciplinary Telecommunications and Networking
International Journal of Interdisciplinary Telecommunications and Networking
International Journal of Interdisciplinary Telecommunications and Networking
Improving Effectiveness of Intrusion Detection by Correlation Feature Selection
International Journal of Mobile Computing and Multimedia Communications
Approximation Algorithms for Capacitated Location Routing
Transportation Science
Theoretical Computer Science
Near optimal tree size bounds on a simple real root isolation algorithm
Proceedings of the 37th International Symposium on Symbolic and Algebraic Computation
Gaussian quantum computation with oracle-decision problems
Quantum Information Processing
A regularity lemma and twins in words
Journal of Combinatorial Theory Series A
On Utilizing Web Service Equivalence for Supporting the Composition Life Cycle
International Journal of Web Services Research
Multi-location production and delivery with job selection
Computers and Operations Research
Journal of Database Management
Memory efficient minimum substring partitioning
Proceedings of the VLDB Endowment
Creating Process-Agents incrementally by mining process asset library
Information Sciences: an International Journal
Proceedings of the 16th International Conference on Extending Database Technology
Finding Communities in Weighted Signed Social Networks
ASONAM '12 Proceedings of the 2012 International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2012)
Exploiting and Evaluating MapReduce for Large-Scale Graph Mining
ASONAM '12 Proceedings of the 2012 International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2012)
Detecting Criminal Networks Using Social Similarity
ASONAM '12 Proceedings of the 2012 International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2012)
Iterative routing algorithm of Inter-FPGA signals for Multi-FPGA prototyping platform
ARC'13 Proceedings of the 9th international conference on Reconfigurable Computing: architectures, tools, and applications
Automatic determination of cell division rate using microscope images
Pattern Recognition and Image Analysis
Efficient algorithm for the vertex connectivity of trapezoid graphs
Information Processing Letters
Computer Networks: The International Journal of Computer and Telecommunications Networking
Sequential optimization of binary search trees for multiple cost functions
CATS '11 Proceedings of the Seventeenth Computing: The Australasian Theory Symposium - Volume 119
Hyperplane initialized local search for MAXSAT
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Data-driven parsing using probabilistic linear context-free rewriting systems
Computational Linguistics
Mutation rate matters even when optimizing monotonic functions
Evolutionary Computation
Dual local consistency hashing with discriminative projections selection
Signal Processing
Proceedings of the 27th international ACM conference on International conference on supercomputing
TF-Label: a topological-folding labeling scheme for reachability querying in a large graph
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
The power 775 architecture at scale
Proceedings of the 27th international ACM conference on International conference on supercomputing
Correctness of intrusive data structures using mixins
Proceedings of the 16th International ACM Sigsoft symposium on Component-based software engineering
Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on Probabilistic Embedded Computing
Probabilistic Temporal Logic Falsification of Cyber-Physical Systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on Probabilistic Embedded Computing
A New Local Search Algorithm for Binary Optimization
INFORMS Journal on Computing
Proof systems and transformation games
Annals of Mathematics and Artificial Intelligence
Methods for the estimation of the size of lookahead tree state-space
Discrete Event Dynamic Systems
A stencil compiler for short-vector SIMD architectures
Proceedings of the 27th international ACM conference on International conference on supercomputing
Efficient string-matching allowing for non-overlapping inversions
Theoretical Computer Science
Computing square roots of trivially perfect and threshold graphs
Discrete Applied Mathematics
A relevance feedback approach for the author name disambiguation problem
Proceedings of the 13th ACM/IEEE-CS joint conference on Digital libraries
Information Sciences: an International Journal
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Practically efficient multi-party sorting protocols from comparison sort algorithms
ICISC'12 Proceedings of the 15th international conference on Information Security and Cryptology
Sequential optimization of binary search trees for multiple cost functions
CATS 2011 Proceedings of the Seventeenth Computing on The Australasian Theory Symposium - Volume 119
Improved Deterministic Algorithms for Decremental Reachability and Strongly Connected Components
ACM Transactions on Algorithms (TALG) - Special Issue on SODA'11
Semantic hashing using tags and topic modeling
Proceedings of the 36th international ACM SIGIR conference on Research and development in information retrieval
Secure cloud-assisted location based reminder
Proceedings of the 8th ACM SIGSAC symposium on Information, computer and communications security
Barrier coverage with line-based deployed mobile sensors
Ad Hoc Networks
Taming the complexity of coordinated place and route
Proceedings of the 50th Annual Design Automation Conference
"Adore", a logical meta-model supporting business process evolution
Science of Computer Programming
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
STBC-OFDM downlink baseband receiver for mobile WMAN
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Metric convergence in social network sampling
Proceedings of the 5th ACM workshop on HotPlanet
Proceedings of the fourteenth ACM international symposium on Mobile ad hoc networking and computing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Genomic Region Operation Kit for Flexible Processing of Deep Sequencing Data
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Finding influencers in networks using social capital
Proceedings of the 2013 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining
Agglomerative-based flip-flop merging with signal wirelength optimization
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Autonomous task partitioning in robot foraging: an approach based on cost estimation
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Balancing font sizes for flexibility in automated document layout
Proceedings of the 2013 ACM symposium on Document engineering
Incremental closeness centrality for dynamically changing social networks
Proceedings of the 2013 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining
Structure preserving non-negative matrix factorization for dimensionality reduction
Computer Vision and Image Understanding
Efficient divide-and-conquer parsing of practical context-free languages
Proceedings of the 18th ACM SIGPLAN international conference on Functional programming
Zips: mining compressing sequential patterns in streams
Proceedings of the ACM SIGKDD Workshop on Interactive Data Exploration and Analytics
Oracle decoding as a new way to analyze phrase-based machine translation
Machine Translation
Scalable parallel OPTICS data clustering using graph algorithmic techniques
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Viewing functions as token sequences to highlight similarities in source code
Science of Computer Programming
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special Section on Networks on Chip: Architecture, Tools, and Methodologies
Computing weight constraint reachability in large networks
The VLDB Journal — The International Journal on Very Large Data Bases
Embeddings of circulant networks
Journal of Combinatorial Optimization
One size does not fit all: multi-granularity search of web forums
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Fast and exact network trajectory similarity computation: a case-study on bicycle corridor planning
Proceedings of the 2nd ACM SIGKDD International Workshop on Urban Computing
Fast spatial averaging: an efficient algorithm for 2D mean filtering
The Journal of Supercomputing
Dynamic lightpath provisioning in optical WDM mesh networks with asymmetric nodes
Photonic Network Communications
On segmenting logistical zones for servicing continuously developed consumers
Automation and Remote Control
Invariants for homology classes with application to optimal search and planning problem in robotics
Annals of Mathematics and Artificial Intelligence
Algorithmic improvements on dynamic programming for the bi-objective {0,1} knapsack problem
Computational Optimization and Applications
VLIW coprocessor for IEEE-754 quadruple-precision elementary functions
ACM Transactions on Architecture and Code Optimization (TACO)
Efficient computation of the shapley value for game-theoretic network centrality
Journal of Artificial Intelligence Research
Proceedings of the 2013 Research in Adaptive and Convergent Systems
Service-Oriented Line Planning and Timetabling for Passenger Trains
Transportation Science
SmipRef: An efficient method for multi-domain clock skew scheduling
Integration, the VLSI Journal
DACCER: Distributed Assessment of the Closeness CEntrality Ranking in complex networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Privacy-preserving matrix factorization
Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security
Steganography content detection by means of feedforward neural network
International Journal of Innovative Computing and Applications
On the simplicity of synthesizing linked data structure operations
Proceedings of the 12th international conference on Generative programming: concepts & experiences
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
ACM SIGOPS 24th Symposium on Operating Systems Principles
A lightweight infrastructure for graph analytics
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
Extraction of statistically significant malware behaviors
Proceedings of the 29th Annual Computer Security Applications Conference
On supernode transformations and multithreading for the longest common subsequence problem
AusPDC '12 Proceedings of the Tenth Australasian Symposium on Parallel and Distributed Computing - Volume 127
A polynomial algorithm for codes based on directed graphs
CATS '06 Proceedings of the Twelfth Computing: The Australasian Theory Symposium - Volume 51
Algorithms for hotspot computation on trajectory data
Proceedings of the 21st ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Duty-cycle-aware minimum-energy multicasting in wireless sensor networks
IEEE/ACM Transactions on Networking (TON)
GEographic multicast (GEM) for dense wireless networks: protocol design and performance analysis
IEEE/ACM Transactions on Networking (TON)
Formal verification of hardware synthesis
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
Enhancing minimum spanning tree-based clustering by removing density-based outliers
Digital Signal Processing
Colored top-K range-aggregate queries
Information Processing Letters
Integrated motion planning and control for graceful balancing mobile robots
International Journal of Robotics Research
A new dichotomic algorithm for the uniform random generation of words in regular languages
Theoretical Computer Science
A home daily activity simulation model for the evaluation of lifestyle monitoring systems
Computers in Biology and Medicine
Strong simulation: Capturing topology in graph pattern matching
ACM Transactions on Database Systems (TODS)
Best upgrade plans for large road networks
SSTD'13 Proceedings of the 13th international conference on Advances in Spatial and Temporal Databases
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
Achieving full-view coverage in camera sensor networks
ACM Transactions on Sensor Networks (TOSN)
Pharaoh: Context-Based Structural Retrieval of Cognitive Scripts
International Journal of Information Retrieval Research
Optimal approximability of bookmark assignments
Discrete Applied Mathematics
Solving min ones 2-sat as fast as vertex cover
Theoretical Computer Science
Dynamic generating algorithm on path selection and optimisation in travel planning
International Journal of Computer Applications in Technology
Fault-tolerant scheduling in homogeneous real-time systems
ACM Computing Surveys (CSUR)
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Persistence-Based Clustering in Riemannian Manifolds
Journal of the ACM (JACM)
A Hybrid Approach for Web Change Detection
International Journal of Information Technology and Web Engineering
A resource-driven DVFS scheme for smart handheld devices
ACM Transactions on Embedded Computing Systems (TECS)
A survey of intrusion detection techniques for cyber-physical systems
ACM Computing Surveys (CSUR)
Form-Based Web Service Composition for Domain Experts
ACM Transactions on the Web (TWEB)
A new approach to complex web site organization
Journal of Information Science
Fast concurrent lock-free binary search trees
Proceedings of the 19th ACM SIGPLAN symposium on Principles and practice of parallel programming
Exploiting phase inter-dependencies for faster iterative compiler optimization phase order searches
Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
Security of graph data: hashing schemes and definitions
Proceedings of the 4th ACM conference on Data and application security and privacy
Proceedings of the 8th International Conference on Ubiquitous Information Management and Communication
Optimal working time distribution and routing for autonomous tractors based on heuristic algorithms
Automation and Remote Control
Circumference, chromatic number and online coloring
Combinatorica
Motion Planning with Pulley, Rope, and Baskets
Theory of Computing Systems
Improved algorithms to network p-center location problems
Computational Geometry: Theory and Applications
Draining a polygon-or-rolling a ball out of a polygon
Computational Geometry: Theory and Applications
An efficient and secure approach for a cloud collaborative editing
Journal of Network and Computer Applications
LatchPlanner: latch placement algorithm for datapath-oriented high-performance VLSI designs
Proceedings of the International Conference on Computer-Aided Design
Encoding multi-valued functions for symmetry
Proceedings of the International Conference on Computer-Aided Design
Clustering scheduling for hardware tasks in reconfigurable computing systems
Journal of Systems Architecture: the EUROMICRO Journal
Binary trees as a computational framework
Computer Languages, Systems and Structures
Hamiltonian Walks of Phylogenetic Treespaces
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Co-evolutionary automatic programming for software development
Information Sciences: an International Journal
Fast recognition of doubled graphs
Theoretical Computer Science
The complexity of manipulative attacks in nearly single-peaked electorates
Artificial Intelligence
Parameterized complexity of Max-lifetime Target Coverage in wireless sensor networks
Theoretical Computer Science
On the computation of fully proportional representation
Journal of Artificial Intelligence Research
Supervised feature selection in graphs with path coding penalties and network flows
The Journal of Machine Learning Research
Multi-robot coverage and exploration on Riemannian manifolds with boundaries
International Journal of Robotics Research
One-dimensional approximate point set pattern matching with Lp-norm
Theoretical Computer Science
Migration-based hybrid cache design for file systems over flash storage devices
ACM SIGAPP Applied Computing Review
Towards a Protein-Protein Interaction information extraction system: Recognizing named entities
Knowledge-Based Systems
Parallel labeling of massive XML data with MapReduce
The Journal of Supercomputing
Cybernetics and Systems Analysis
Compressed data aggregation: energy-efficient and high-fidelity data collection
IEEE/ACM Transactions on Networking (TON)
Robust power allocation for energy-efficient location-aware networks
IEEE/ACM Transactions on Networking (TON)
Achieving Energy Conservation by Cluster Based Data Aggregation in Wireless Sensor Networks
Wireless Personal Communications: An International Journal
Exploiting inter-operation parallelism for matrix chain multiplication using MapReduce
The Journal of Supercomputing
The Journal of Supercomputing
Sampling from repairs of conditional functional dependency violations
The VLDB Journal — The International Journal on Very Large Data Bases
Differentially private histogram publication
The VLDB Journal — The International Journal on Very Large Data Bases
Some algorithms for analysis and synthesis of real-time multiprocessor computing systems
Programming and Computing Software
Compressive sensing and adaptive direct sampling in hyperspectral imaging
Digital Signal Processing
Groupcast routing with the possibility of choosing a channel transmission method
Automation and Remote Control
The topology aware file distribution problem
Journal of Combinatorial Optimization
Future Generation Computer Systems
Future Generation Computer Systems
Journal of Intelligent and Robotic Systems
Algorithmic probabilistic game semantics
Formal Methods in System Design
A Case Study of Implementing Supernode Transformations
International Journal of Parallel Programming
Mining most frequently changing component in evolving graphs
World Wide Web
Selecting queries from sample to crawl deep web data sources
Web Intelligence and Agent Systems
Large-scale cooperative task distribution on peer-to-peer networks
Web Intelligence and Agent Systems
A divide and conquer strategy for scaling weather simulations with multiple regions of interest
Scientific Programming - Selected Papers from Super Computing 2012
The Wide-Area Virtual Service Migration Problem: A Competitive Analysis Approach
IEEE/ACM Transactions on Networking (TON)
Group planning with time constraints
Annals of Mathematics and Artificial Intelligence
Elements of dynamic programming in extremal routing problems
Automation and Remote Control
Modeling Group Scheduling Problems in Space and Time by Timed Petri Nets
Fundamenta Informaticae
Fuzzy and crisp clustering methods based on the neighborhood concept: A comprehensive review
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - FUZZYSS'2011: 2nd International Fuzzy Systems Symposium
A Modified micro Genetic Algorithm for undertaking Multi-Objective Optimization Problems
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Recent Advances in Soft Computing: Theories and Applications
Determining causality and dependency in loosely coupled, n-dimensional social networks
Information-Knowledge-Systems Management - Complex Socio-Technical Systems --Understanding and Influencing Causality of Change
Quantifying upper-arm rehabilitation metrics for children through interaction with a humanoid Robot
Applied Bionics and Biomechanics - Human-Robot Interaction/Interface
Safety and Soundness for Priced Resource-Constrained Workflow Nets
Fundamenta Informaticae - Application and Theory of Petri Nets and Concurrency, 2012
Linear time-dependent constraints programming with MSVL
Journal of Combinatorial Optimization
Hardness results and approximation algorithm for total liar's domination in graphs
Journal of Combinatorial Optimization
Frequency optimization objective during system prototyping on multi-FPGA platform
International Journal of Reconfigurable Computing
Hi-index | 0.01 |
This book covers a broad range of algorithms in depth, yet makes their design and analysis accessible to all levels of readers. Each chapter is relatively self-contained and can be used as a unit of study. The algorithms are described in English and in a pseudocode designed to be readable by anyone who has done a little prgramming. The explanations have been kept elementary without sacrificing depth of coverage or mathematical rigor.