Tailor: creating custom user interfaces based on gesture
UIST '90 Proceedings of the 3rd annual ACM SIGGRAPH symposium on User interface software and technology
Diagnosis, parsimony, and genetic algorithms
IEA/AIE '90 Proceedings of the 3rd international conference on Industrial and engineering applications of artificial intelligence and expert systems - Volume 1
APL '91 Proceedings of the international conference on APL '91
Artificial evolution for computer graphics
Proceedings of the 18th annual conference on Computer graphics and interactive techniques
On the allocation of documents in multiprocessor information retrieval systems
SIGIR '91 Proceedings of the 14th annual international ACM SIGIR conference on Research and development in information retrieval
Genetic algorithms and instruction scheduling
MICRO 24 Proceedings of the 24th annual international symposium on Microarchitecture
A Linear Algorithm for Generating Random Numbers with a Given Distribution
IEEE Transactions on Software Engineering
Implementation of massively parallel genetic algorithms on the MasPar MP-1
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
A genetic algorithm for packing in three dimensions
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
Solving the n-queens problem using genetic algorithms
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
ACM SIGBIO Newsletter - Special edition on biologically motivated computing
Genetics for genetic algorithms
ACM SIGBIO Newsletter - Special edition on biologically motivated computing
Computation and the immune system
ACM SIGBIO Newsletter - Special edition on biologically motivated computing
Efficient local search for very large-scale satisfiability problems
ACM SIGART Bulletin
Artificial intelligence applications to communication network design with bulk facilities
CSC '92 Proceedings of the 1992 ACM annual conference on Communications
SIGCSE '92 Proceedings of the twenty-third SIGCSE technical symposium on Computer science education
Biologically based machine learning paradigms: an introductory course
SIGCSE '92 Proceedings of the twenty-third SIGCSE technical symposium on Computer science education
Distributed computing with APL
APL '92 Proceedings of the international conference on APL
An object-oriented environment for specification and concurrent execution of genetic algorithms
OOPSLA '92 Addendum to the proceedings on Object-oriented programming systems, languages, and applications (Addendum)
A genetic algorithm for macro cell placement
EURO-DAC '92 Proceedings of the conference on European design automation
Wolverines: standard cell placement on a network of workstations
EURO-DAC '92 Proceedings of the conference on European design automation
LibGA: a user-friendly workbench for order-based genetic algorithm research
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Manipulating subpopulations of feasible and infeasible solutions in genetic algorithms
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
The Hamming metric in genetic algorithms and its application to two network problems
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Dynamic trajectory routing using an adaptive search method
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Minimal cost set covering using probabilistic methods
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
A hybrid genetic algorithm for an NP-complete problem with an expensive evaluation function
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
A genetic-algorithm approach to solving crossword puzzles
SAC '93 Proceedings of the 1993 ACM/SIGAPP symposium on Applied computing: states of the art and practice
Generation and quantitative evaluation of dataflow clusters
FPCA '93 Proceedings of the conference on Functional programming languages and computer architecture
Spacetime constraints revisited
SIGGRAPH '93 Proceedings of the 20th annual conference on Computer graphics and interactive techniques
Distributed computing in the workstation environment
APL '93 Proceedings of the international conference on APL
Uniting probabilistic methods for optimization
WSC '92 Proceedings of the 24th conference on Winter simulation
Genetic algorithms and machine learning
COLT '93 Proceedings of the sixth annual conference on Computational learning theory
Genetic algorithms for modelling, design, and process control
CIKM '93 Proceedings of the second international conference on Information and knowledge management
Learning Bayesian classification rules through genetic algorithms
CIKM '93 Proceedings of the second international conference on Information and knowledge management
Genetic algorithm based approach for designing computer network topology
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Using genetic algorithms to generate Steiner triple systems
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Genetic algorithm application in a machine scheduling problem
CSC '93 Proceedings of the 1993 ACM conference on Computer science
The effects of initial population in genetic search for time constrained traveling salesman problems
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Genetic and evolutionary algorithms come of age
Communications of the ACM
Highly parallelizable route planner based on cellular automata algorithms
IBM Journal of Research and Development
Computer
1994 Special Issue: Design and evolution of modular neural network architectures
Neural Networks - Special issue: models of neurodynamics and behavior
GATutor: a graphical tutorial system for genetic algorithms
SIGCSE '94 Proceedings of the twenty-fifth SIGCSE symposium on Computer science education
Iterative [simulation-based genetics + deterministic techniques]= complete ATPG0
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
SIGGRAPH '94 Proceedings of the 21st annual conference on Computer graphics and interactive techniques
Evolutionary learning of graph layout constraints from examples
UIST '94 Proceedings of the 7th annual ACM symposium on User interface software and technology
A fast and stable hybrid genetic algorithm for the ratio-cut partitioning problem on hypergraphs
DAC '94 Proceedings of the 31st annual Design Automation Conference
Sequential circuit test generation in a genetic algorithm framework
DAC '94 Proceedings of the 31st annual Design Automation Conference
GSA: scheduling and allocation using genetic algorithm
EURO-DAC '94 Proceedings of the conference on European design automation
HGA: a hardware-based genetic algorithm
FPGA '95 Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays
ACM SIGOPS Operating Systems Review
APL '95 Proceedings of the international conference on Applied programming languages
Power-profiler: optimizing ASICs power consumption at the behavioral level
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Combining deterministic and genetic approaches for sequential circuit test generation
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
DARWIN: CMOS opamp synthesis by means of a genetic algorithm
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
A leaf-cell generator for silicon compilers
ACM SIGPLAN OOPS Messenger
Using genetic algorithms to inductively reason with cases in the legal domain
ICAIL '95 Proceedings of the 5th international conference on Artificial intelligence and law
Genetic algorithms in optimizing simulated systems
WSC '95 Proceedings of the 27th conference on Winter simulation
Phantom redundancy: a high-level synthesis approach for manufacturability
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
COLT '95 Proceedings of the eighth annual conference on Computational learning theory
IEEE/ACM Transactions on Networking (TON)
Guest Editors' Introduction: Neurocomputing - Motivation, Models, and Hybridization
Computer - Special issue: neural computing: companion issue to Spring 1996 IEEE Computational Science & Engineering
A hybrid conceptual clustering system
CSC '96 Proceedings of the 1996 ACM 24th annual conference on Computer science
Primary production scheduling at steelmaking industries
IBM Journal of Research and Development
Computational experience on four algorithms for the hard clustering problem
Pattern Recognition Letters
ACM Computing Surveys (CSUR)
IEEE Transactions on Pattern Analysis and Machine Intelligence
Gate-level test generation for sequential circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Topological design of local-area networks using genetic algorithms
IEEE/ACM Transactions on Networking (TON)
Synchronous and Asynchronous Parallel Simulated Annealing with Multiple Markov Chains
IEEE Transactions on Parallel and Distributed Systems
Decision-Theoretic Cooperative Sensor Planning
IEEE Transactions on Pattern Analysis and Machine Intelligence
Simulation-based techniques for dynamic test sequence compaction
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Programmable BIST Space Compactors
IEEE Transactions on Computers
Dynamic Clustering of Maps in Autonomous Agents
IEEE Transactions on Pattern Analysis and Machine Intelligence
A performance-driven IC/MCM placement algorithm featuring explicit design space exploration
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Simulated Annealing and Genetic Algorithms for the Facility LayoutProblem: A Survey
Computational Optimization and Applications
EXPLORER: an interactive floorplanner for design space exploration
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
Clock skew optimization for peak current reduction
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Motivation and framework for using genetic algorithms for microcode compaction
MICRO 23 Proceedings of the 23rd annual workshop and symposium on Microprogramming and microarchitecture
The Racing Algorithm: Model Selection for Lazy Learners
Artificial Intelligence Review - Special issue on lazy learning
A Teaching Strategy for Memory-Based Control
Artificial Intelligence Review - Special issue on lazy learning
System design and evaluation using discrete event simulation with artificial intelligence
WSC '93 Proceedings of the 25th conference on Winter simulation
Optimal demand-oriented topology for hypertext systems
Proceedings of the 20th annual international ACM SIGIR conference on Research and development in information retrieval
Application of genetic algorithms to the algebraic simplification of tensor polynomials
ISSAC '97 Proceedings of the 1997 international symposium on Symbolic and algebraic computation
Proceedings of the 24th annual conference on Computer graphics and interactive techniques
A combination of genetic algorithm and simulated evolution techniques for clustering
CSC '95 Proceedings of the 1995 ACM 23rd annual conference on Computer science
Clock Skew Optimization for Peak Current Reduction
Journal of VLSI Signal Processing Systems - Special issue on high performance clock distribution networks
A Search for Hidden Relationships: Data Mining with Genetic Algorithms
Computational Economics - Special issue on computational economics in Geneva: volume 1: computational econometrics, statistics, and optimization
K2: an estimator for peak sustainable power of VLSI circuits
ISLPED '97 Proceedings of the 1997 international symposium on Low power electronics and design
ACM SIGCOMM Computer Communication Review
Enhanced simulated annealing for globally minimizing functions of many-continuous variables
ACM Transactions on Mathematical Software (TOMS)
Effects of delay models on peak power estimation of VLSI sequential circuits
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
MOGAC: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Creatures: artificial life autonomous software agents for home entertainment
AGENTS '97 Proceedings of the first international conference on Autonomous agents
Adaptation and learning in animated creatures
AGENTS '97 Proceedings of the first international conference on Autonomous agents
Simulation optimization: methods and applications
Proceedings of the 29th conference on Winter simulation
Genetic algorithms with cluster analysis for production simulation
Proceedings of the 29th conference on Winter simulation
Automated program flaw finding using simulated annealing
Proceedings of the 1998 ACM SIGSOFT international symposium on Software testing and analysis
Implementation of abstract data types with arrays of unbounded dimensions
Communications of the ACM - Electronic supplement to the December issue
GENOCOP: a genetic algorithm for numerical optimization problems with linear constraints
Communications of the ACM - Electronic supplement to the December issue
A clustering algorithm using an evolutionary programming-based approach
Pattern Recognition Letters
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
ACM-SE 36 Proceedings of the 36th annual Southeast regional conference
A few new features for genetic algorithms
ACM-SE 36 Proceedings of the 36th annual Southeast regional conference
ACM-SE 36 Proceedings of the 36th annual Southeast regional conference
Parallel Genetic Simulated Annealing: A Massively Parallel SIMD Algorithm
IEEE Transactions on Parallel and Distributed Systems
Automatic parallel I/O performance optimization in Panda
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Computational Aspects of Pathology Image Classification and Retrieval
The Journal of Supercomputing - Special issue on supercomputing in medicine
Behavioral self-organization in lifelike agents
AGENTS '98 Proceedings of the second international conference on Autonomous agents
Estimation of maximum power supply noise for deep sub-micron designs
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Fuzzy Logic for Biological and Agricultural Systems
Artificial Intelligence Review
CORDS: hardware-software co-synthesis of reconfigurable real-time distributed embedded systems
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Query Optimization in Multidatabase Systems
Distributed and Parallel Databases
On-Line Learning Fokker-Planck Machine
Neural Processing Letters
Pattern recognition using evolution algorithms with fast simulated annealing
Pattern Recognition Letters
Genetic algorithmic approach to the detection of subsurface voids in cross-hole seismic tomography
Pattern Recognition Letters
Application-specific heterogeneous multiprocessor synthesis using differential-evolution
Proceedings of the 11th international symposium on System synthesis
Use of simulation in optimization of maintenance policies
Proceedings of the 30th conference on Winter simulation
Tackling Real-Coded Genetic Algorithms: Operators and Tools for Behavioural Analysis
Artificial Intelligence Review
Metacognition in software agents using classifier systems
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Highest utility first search across multiple levels of stochastic design
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Evolvable hardware chip for high precision printer image compression
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Iterated phantom induction: a little knowledge can go a long way
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Learning cooperative lane selection strategies for highways
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
A sampling-based heuristic for tree search applied to grammar induction
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
Classification using an online genetic algorithm
AAAI '98/IAAI '98 Proceedings of the fifteenth national/tenth conference on Artificial intelligence/Innovative applications of artificial intelligence
A methodology for fast FPGA floorplanning
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
IEEE Transactions on Pattern Analysis and Machine Intelligence
Artificial intelligence search methods for multi-machine two-stage scheduling
Proceedings of the 1999 ACM symposium on Applied computing
Scheduling of multi-product fungible liquid pipelines using genetic algorithms
Proceedings of the 1999 ACM symposium on Applied computing
A genetic algorithm for solving the Euclidean distance matrices completion problem
Proceedings of the 1999 ACM symposium on Applied computing
A weight-coded genetic algorithm for the multiple container packing problem
Proceedings of the 1999 ACM symposium on Applied computing
Mastermind by evolutionary algorithms
Proceedings of the 1999 ACM symposium on Applied computing
Depth-fair crossover in genetic programming
Proceedings of the 1999 ACM symposium on Applied computing
Constrained GA applied to production and energy management of a pulp and paper mill
Proceedings of the 1999 ACM symposium on Applied computing
Infected genes evolutionary algorithm
Proceedings of the 1999 ACM symposium on Applied computing
High school weekly timetabling by evolutionary algorithms
Proceedings of the 1999 ACM symposium on Applied computing
Multiobjective evolutionary algorithm test suites
Proceedings of the 1999 ACM symposium on Applied computing
Evolvable hardware chips for industrial applications
Communications of the ACM
Efficient Techniques for Dynamic Test Sequence Compaction
IEEE Transactions on Computers
A Review of Statistical Language Processing Techniques
Artificial Intelligence Review
Embedded system synthesis under memory constraints
CODES '99 Proceedings of the seventh international workshop on Hardware/software codesign
CRIS: a test cultivation program for sequential VLSI circuits
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Comparison of global search methods for design optimization using simulation
WSC '91 Proceedings of the 23rd conference on Winter simulation
MULTIMEDIA '98 Proceedings of the sixth ACM international conference on Multimedia: Face/gesture recognition and their applications
The GRD Chip: Genetic Reconfiguration of DSPs for Neural Network Processing
IEEE Transactions on Computers
Self-adaptation in evolving systems
Artificial Life
Peak power estimation using genetic spot optimization for large VLSI circuits
DATE '99 Proceedings of the conference on Design, automation and test in Europe
FreezeFrame: compact test generation using a frozen clock strategy
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Using Genetic Algorithms to Model the Evolution of Heterogeneous Beliefs
Computational Economics
Separate-and-Conquer Rule Learning
Artificial Intelligence Review
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Analysis of performance impact caused by power supply noise in deep submicron devices
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Scalable algorithms for mining large databases
KDD '99 Tutorial notes of the fifth ACM SIGKDD international conference on Knowledge discovery and data mining
Content-based retrieval using heuristic search
Proceedings of the 22nd annual international ACM SIGIR conference on Research and development in information retrieval
VIP—an input pattern generator for indentifying critical voltage drop for deep sub-micron designs
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
Ranking Strategies for Navigation Based Query Formulation
Journal of Intelligent Information Systems
Optimizing for reduced code space using genetic algorithms
Proceedings of the ACM SIGPLAN 1999 workshop on Languages, compilers, and tools for embedded systems
An evolvable hardware chip and its application as a multi-function prosthetic hand controller
AAAI '99/IAAI '99 Proceedings of the sixteenth national conference on Artificial intelligence and the eleventh Innovative applications of artificial intelligence conference innovative applications of artificial intelligence
Use of genetic algorithms in three-dimensional reconstruction in carbon black aggregates
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Approximation techniques for variations of the p-median problem
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
A genetic algorithm for optimizing problems with multiple disparate data types
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
A sparse matrix representation for production scheduling using genetic algorithms
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
On the effectiveness of genetic search in combinatorial optimization
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Solving the three-star tree isomorphism problem using genetic algorithms
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Fitness inheritance in genetic algorithms
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Detecting multiple outliers in regression data using genetic algorithms
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Fuzzy genetic controllers for the autonomous rendezvous and docking problem
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Discovering multiple fuzzy models using the EFMCN algorithm
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
Off-Line Computation of Stackelberg Solutions with the Genetic Algorithm
Computational Economics
Genetic Scheduling for Parallel Processor Systems: Comparative Studies and Performance Issues
IEEE Transactions on Parallel and Distributed Systems
Scheduling Multiprocessor Tasks with Genetic Algorithms
IEEE Transactions on Parallel and Distributed Systems
Sketching a virtual environment: modeling using line-drawing interpretation
Proceedings of the ACM symposium on Virtual reality software and technology
Simulation optimization methodologies
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1
Simulation optimization with the linear move and exchange move optimization algorithm
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1
Using simulation and genetic algorithms to improve cluster tool performance
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1
The zero/one multiple knapsack problem and genetic algorithms
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
Molecular docking using genetic algorithms
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
Walsh and Haar functions in genetic algorithms
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
Representational effects in a simple classifier system
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A ring loading application of genetic algorithms
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A genetic algorithm for learning fuzzy controllers
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A genetic algorithm for fragment allocation in a distributed database system
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A parallel island model genetic algorithm for the multiprocessor scheduling problem
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
The application of genetic algorithms to the design of reconfigurable reasoning VLSI chips
FPGA '00 Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
Parallel genetic algorithm to solve the satisfiability problem
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Tuning fuzzy software components with a distributed evolutionary engine
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Using a genetic algorithm to find good linear error-correcting codes
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Solving the rural postman problem using a genetic algorithm with a graph transformation
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Selective crossover: towards fitter offspring
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Scalability of an MPI-based fast messy genetic algorithm
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
An evolutionary approach to timing driven FPGA placement
GLSVLSI '00 Proceedings of the 10th Great Lakes symposium on VLSI
Finding investigator tours in telecommunication networks using genetic algorithms
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
A comparison of local search algorithms for radio link frequency assignment problems
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
Reducing disruption of superior building blocks in genetic algorithms
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
Hybrid genetic algorithms for polypeptide energy minimization
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
Genetic algorithm for fuzzy modeling of robotic manipulators
SAC '96 Proceedings of the 1996 ACM symposium on Applied Computing
ACM Computing Surveys (CSUR)
Where do intelligent agents come from?
Crossroads
Why is problem-dependent and high-level representation scheme better in a genetic algorithm?
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
A genetic approach to color image compression
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Design and implementation of a parallel genetic algorithm for the travelling purchaser problem
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
A hybrid algorithm for the point to multipoint routing problem
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Polypeptide structure prediction: real-value versus binary hybrid genetic algorithms
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Topology Optimization of Trusses—Random Cost Method Versus Evolutionary Algorithms
Computational Optimization and Applications
A C++ Platform for the Evolution of Trade Networks
Computational Economics - Special issue on programming languages
Permutation-based evolutionary algorithms for multidimensional knapsack problems
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
On the influence of the representation granularity in heuristic forma recombination
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
A genetic local search algorithm for random binary constraint satisfaction problems
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
Multiobjective optimization with messy genetic algorithms
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
niGAVaPS — outbreeding in genetic algorithms
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
An evolutionary hybrid approach to the design of a decision support system
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
An optimum virtual paths network-based atm network using the genetic algorithm
International Journal of Network Management
The Art of Building Decision Trees
Journal of Medical Systems
IEEE Transactions on Software Engineering - Special issue on architecture-independent languages and software tools parallel processing
LEARNABLE EVOLUTION MODEL: Evolutionary Processes Guided by Machine Learning
Machine Learning - Special issue on multistrategy learning
A Multistrategy Approach to Classifier Learning from Time Series
Machine Learning - Special issue on multistrategy learning
Ant algorithms for discrete optimization
Artificial Life
Computational Optimization and Applications
Multidimensional Exploration of Software Implementationsfor DSP Algorithms
Journal of VLSI Signal Processing Systems - Special issue on recent advances in the design and implementation of signal processing systems
Optimal hardware pattern generation for functional BIST
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Journal of VLSI Signal Processing Systems - Special issue on VLSI on custom computing technology
Computational Economics - Computational Studies at Stanford
Static scheduling algorithms for allocating directed task graphs to multiprocessors
ACM Computing Surveys (CSUR)
A decision-theoretic approach to resource allocation in wireless multimedia networks
DIALM '00 Proceedings of the 4th international workshop on Discrete algorithms and methods for mobile computing and communications
Evolutionary algorithms in data mining: multi-objective performance modeling for direct marketing
Proceedings of the sixth ACM SIGKDD international conference on Knowledge discovery and data mining
Dynamic state traversal for sequential circuit test generation
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A comparative analysis of search methods as applied to shearographic fringe modelling
IEA/AIE '00 Proceedings of the 13th international conference on Industrial and engineering applications of artificial intelligence and expert systems: Intelligent problem solving: methodologies and approaches
Aerial spray deposition management using the genetic algorithm
IEA/AIE '00 Proceedings of the 13th international conference on Industrial and engineering applications of artificial intelligence and expert systems: Intelligent problem solving: methodologies and approaches
Approximating the 0-1 multiple knapsack problem with agent decomposition and market negotiation
IEA/AIE '00 Proceedings of the 13th international conference on Industrial and engineering applications of artificial intelligence and expert systems: Intelligent problem solving: methodologies and approaches
An empirical study of non-binary genetic algorithm-based neural approaches for classification
ICIS '99 Proceedings of the 20th international conference on Information Systems
A Handwritten Numeral Character Classification Using Tolerant Rough Set
IEEE Transactions on Pattern Analysis and Machine Intelligence
Nuclear power plant preventive maintenance planning using genetic algorithms
IEA/AIE '00 Proceedings of the 13th international conference on Industrial and engineering applications of artificial intelligence and expert systems: Intelligent problem solving: methodologies and approaches
Evolutionary Pursuit and Its Application to Face Recognition
IEEE Transactions on Pattern Analysis and Machine Intelligence
An updated survey of GA-based multiobjective optimization techniques
ACM Computing Surveys (CSUR)
Evolutionary programming techniques for testing students' code
ACSE '00 Proceedings of the Australasian conference on Computing education
Personalization of search engine services for effective retrieval and knowledge management
ICIS '00 Proceedings of the twenty first international conference on Information systems
The experimental analysis of information security management issues for online financial services
ICIS '00 Proceedings of the twenty first international conference on Information systems
Test generation based diagnosis of device parameters for analog circuits
Proceedings of the conference on Design, automation and test in Europe
Hardware/software partitioning with integrated hardware design space exploration
Proceedings of the conference on Design, automation and test in Europe
Fast sequential circuit test generation using high-level and gate-level techniques
Proceedings of the conference on Design, automation and test in Europe
Genetic algorithm accelerator GAA-II
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
RECOMB '01 Proceedings of the fifth annual international conference on Computational biology
Extremal optimization: heuristics via coevolutionary avalanches
Computing in Science and Engineering
Empirical Performance Evaluation Methodology and Its Application to Page Segmentation Algorithms
IEEE Transactions on Pattern Analysis and Machine Intelligence
Using assortative mating in genetic algorithms for vector quantization problems
Proceedings of the 2001 ACM symposium on Applied computing
Teaching students to use genetic algorithms to solve optimization problems
Proceedings of the seventh annual consortium for computing in small colleges central plains conference on The journal of computing in small colleges
Outlier detection for high dimensional data
SIGMOD '01 Proceedings of the 2001 ACM SIGMOD international conference on Management of data
Proceedings of the sixth ACM symposium on Solid modeling and applications
ACM SIGART Bulletin
SEMINAL: software engineering using metaheuristic INnovative ALgorithms
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
How neutral networks influence evolvability
Complexity
Hybrid Evolutionary Search Method Based on Clusters
IEEE Transactions on Pattern Analysis and Machine Intelligence
Data filtering for automatic classification of rocks from reflectance spectra
Proceedings of the seventh ACM SIGKDD international conference on Knowledge discovery and data mining
A low power direct digital frequency synthesizer with 60 dBc spectral purity
Proceedings of the 12th ACM Great Lakes symposium on VLSI
Wire layer geometry optimization using stochastic wire sampling
SLIP '02 Proceedings of the 2002 international workshop on System-level interconnect prediction
Multiclock selection and synthesis for CDFGs using optimal clock sets and genetic algorithms
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Metaheuristics for the capacitated VRP
The vehicle routing problem
Observations on Using Genetic Algorithms for Dynamic Load-Balancing
IEEE Transactions on Parallel and Distributed Systems
Mining interesting regions using an evolutionary algorithm
Proceedings of the 2002 ACM symposium on Applied computing
An evolutionary algorithm to discover numeric association rules
Proceedings of the 2002 ACM symposium on Applied computing
An evolutionary algorithm for reducing integrated-circuit test application time
Proceedings of the 2002 ACM symposium on Applied computing
Using genetic algorithms to find suboptimal retrieval expert combinations
Proceedings of the 2002 ACM symposium on Applied computing
Evolutionary Algorithms for Allocating Data in Distributed Database Systems
Distributed and Parallel Databases
Evolutionary Techniques for Web Caching
Distributed and Parallel Databases
SC '97 Proceedings of the 1997 ACM/IEEE conference on Supercomputing
Introduction to creative evolutionary systems
Creative evolutionary systems
Creativity in evolution: individuals, interactions, and environments
Creative evolutionary systems
On the origins and evolution of music in virtual worlds
Creative evolutionary systems
The sound gallery---an interactive A-life artwork
Creative evolutionary systems
Toward a symbiotic coevolutionary approach to architecture
Creative evolutionary systems
Using evolutionary algorithms to aid designers of architectural structures
Creative evolutionary systems
Arts, robots, and evolution as a tool for creativity
Creative evolutionary systems
The Escher evolver: evolution to the people
Creative evolutionary systems
Discovering novel fighter combat maneuvers: simulating test pilot creativity
Creative evolutionary systems
Toward a standard process: the use of UML for designing simulation models
Proceedings of the 32nd conference on Winter simulation
Simulation of an evolutionary tuned fuzzy dispatching system for automated guided vehicles
Proceedings of the 32nd conference on Winter simulation
Interactive Web-based animations for teaching and learning
Proceedings of the 32nd conference on Winter simulation
Cross-entropy and rare events for maximal cut and partition problems
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue: Rare event simulation
A soft computing framework for adaptive agents
Soft computing agents
Scalable Parallel Genetic Algorithms
Artificial Intelligence Review
A General Meta-Heuristic Based Solver for Combinatorial Optimisation Problems
Computational Optimization and Applications
Learning classifier systems: a complete introduction, review, and roadmap
Journal of Artificial Evolution and Applications
Towards More Optimal Medical Diagnosing with Evolutionary Algorithms
Journal of Medical Systems
Initializability analysis of synchronous sequential circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Knowledge-based genetic algorithm for layer assignment
ACSC '01 Proceedings of the 24th Australasian conference on Computer science
Neural networks, financial trading and the efficient markets hypothesis
ACSC '02 Proceedings of the twenty-fifth Australasian conference on Computer science - Volume 4
A framework for distributed simulation optimization
Proceedings of the 33nd conference on Winter simulation
Automating physical database design in a parallel database
Proceedings of the 2002 ACM SIGMOD international conference on Management of data
Data mining for design and manufacturing
Data mining for design and manufacturing
A multi-agent study of interethnic cooperation
Mutli-agents systems and applications
On the analysis of the (1+ 1) evolutionary algorithm
Theoretical Computer Science
International Journal of Network Management
Using genetic algorithms and coupling measures to devise optimal integration test orders
SEKE '02 Proceedings of the 14th international conference on Software engineering and knowledge engineering
Logically Clustered Architectures for Networked Databases
Distributed and Parallel Databases
Evolution of visual resolution constrained by a trade-off
Artificial Life
Evolving collective behavior in an artificial ecology
Artificial Life
Evolutionary image enhancement with user behavior modeling
ACM SIGAPP Applied Computing Review
Ensembling neural networks: many could be better than all
Artificial Intelligence
Artificial life evolution in a simplified APL2 environment
APL '00 Proceedings of the international conference on APL-Berlin-2000 conference
Automated design synthesis and partitioning for adaptive reconfigurable hardware
Hardware implementation of intelligent systems
High-performance hardware design and implementation of genetic algorithms
Hardware implementation of intelligent systems
A digital fuzzy processor for fuzzy-rule-based systems
Hardware implementation of intelligent systems
Optimum multiuser detection for CDMA systems using the mean field annealing neural network
Hardware implementation of intelligent systems
Computer simulation: a new scientific approach to the study of language evolution
Simulating the evolution of language
An introduction to methods for simulating the evolution of language
Simulating the evolution of language
Symbol grounding and the symbolic theft hypothesis
Simulating the evolution of language
Computational models of creative designing based on situated cognition
C&C '02 Proceedings of the 4th conference on Creativity & cognition
Stochastic search for signal processing algorithm optimization
Proceedings of the 2001 ACM/IEEE conference on Supercomputing
Parallel dedicated hardware devices for heterogeneous computations
Proceedings of the 2001 ACM/IEEE conference on Supercomputing
Evolution strategies –A comprehensive introduction
Natural Computing: an international journal
A clustering genetic algorithm for cylinder drag optimization
Journal of Computational Physics
Programming and Computing Software
Journal of Computational Physics
Automated discovery of concise predictive rules for intrusion detection
Journal of Systems and Software
A computerized causal forecasting system using genetic algorithms in supply chain management
Journal of Systems and Software
A Survey of Optimization by Building and Using Probabilistic Models
Computational Optimization and Applications
An intelligent zone-based delivery scheduling approach
Computers in Industry
Video sequence segmentation using genetic algorithms
Pattern Recognition Letters
Finite Elements in Analysis and Design
Fuzzy Sets and Systems - Theme: Decision and optimization
Pattern recognition by an optical thin-film multilayer model
Annals of Mathematics and Artificial Intelligence
An Algebraic Model for Generating and Adapting Neural Networks by Means of Optimization Methods
Annals of Mathematics and Artificial Intelligence
Genetic Algorithms for Project Management
Annals of Software Engineering
Using Disruptive Selection to Maintain Diversity in GeneticAlgorithms
Applied Intelligence
Evolving the Topology and the Weights of Neural Networks Using a Dual Representation
Applied Intelligence
Multiple Adaptive Agents for Tactical Driving
Applied Intelligence
Printed Circuit Board Design via Organizational-Learning Agents
Applied Intelligence
Evolutionary Learning of Modular Neural Networks withGenetic Programming
Applied Intelligence
A Model-Based Diagnosis System for Identifying Faulty Components in Digital Circuits
Applied Intelligence
Evolutionary Approaches to Figure-Ground Separation
Applied Intelligence
Two-Loop Real-Coded Genetic Algorithms with Adaptive Control of Mutation Step Sizes
Applied Intelligence
An Endosymbiotic Evolutionary Algorithm for Optimization
Applied Intelligence
Applied Intelligence
Scaling Up Inductive Logic Programming: An Evolutionary Wrapper Approach
Applied Intelligence
Evolution of Appropriate Crossover and Mutation Operators in a Genetic Process
Applied Intelligence
Towards Creative Evolutionary Systems with Interactive Genetic Algorithm
Applied Intelligence
Evolving Receptive-Field Controllers for Mobile Robots
Applied Intelligence
Automation and Remote Control
Cooperative Mobile Robotics: Antecedents and Directions
Autonomous Robots
Phylogenetic and Ontogenetic Learning in a Colony of Interacting Robots
Autonomous Robots
On Modular Design of Field Robotic Systems
Autonomous Robots
A Distributed Framework for Parallel Data Mining Using HPJava
BT Technology Journal
Guided Local Search — an Illustrative Example in Function Optimisation
BT Technology Journal
Performance in Planning — Smart Systems for the Access Network
BT Technology Journal
A Non-Discrete Approach to the Evolution of Information Filtering Trees
BT Technology Journal
Nitric Oxide Signalling in Real and Artificial Neural Networks
BT Technology Journal
Integration of Computational Models Inspired by Economics and Genetics
BT Technology Journal
Hybrid Genetic Algorithms for Telecommunications Network Back-Up Routeing
BT Technology Journal
Adaptive Management of an Active Service Network
BT Technology Journal
Coupling Developmental Rules and Evolution to Aid in Planning Network Growth
BT Technology Journal
Successful Application of Genetic Algorithms to Network Design and Planning
BT Technology Journal
Nature-Inspired Computing Technology and Applications
BT Technology Journal
Eos — An Evolutionary and Ecosystem Research Platform
BT Technology Journal
A Markov Model of Production, Trade, and Money: Theory and Artificial Life Simulation
Computational & Mathematical Organization Theory
Decentralized Interaction and Co-Adaptation in the Repeated Prisoner‘sDilemma
Computational & Mathematical Organization Theory
Making Organizational Learning Operational: Implications from Learning Classifier Systems
Computational & Mathematical Organization Theory
Constraints
Parallel Formulations of Decision-Tree Classification Algorithms
Data Mining and Knowledge Discovery
RainForest—A Framework for Fast Decision Tree Construction of Large Datasets
Data Mining and Knowledge Discovery
Data Mining and Knowledge Discovery
PUBLIC: A Decision Tree Classifier that Integrates Building and Pruning
Data Mining and Knowledge Discovery
High-Performance Commercial Data Mining: A Multistrategy Machine Learning Application
Data Mining and Knowledge Discovery
Emergent values for automatons: Ethical problems of life in the generalized Internet
Ethics and Information Technology
Using Genetic Algorithms for Solving Hard Problems in GIS
Geoinformatica
Principles in the Evolutionary Design of Digital Circuits—Part I
Genetic Programming and Evolvable Machines
Evolutionary Modeling of Systems of Ordinary Differential Equations with Genetic Programming
Genetic Programming and Evolvable Machines
A High-Performance, Pipelined, FPGA-Based Genetic Algorithm Machine
Genetic Programming and Evolvable Machines
Using an Individual Evolution Strategy for Stereovision
Genetic Programming and Evolvable Machines
Genetic Programming and Evolvable Machines
Genetic Programming and Evolvable Machines
On Appropriate Adaptation Levels for the Learning of Gene Linkage
Genetic Programming and Evolvable Machines
The Proportional Genetic Algorithm: Gene Expression in a Genetic Algorithm
Genetic Programming and Evolvable Machines
A Scalable Approach to Evolvable Hardware
Genetic Programming and Evolvable Machines
Genetic Programming and Evolvable Machines
Heuristic Learning Based on Genetic Programming
Genetic Programming and Evolvable Machines
Heuristic Techniques for Single Line Train Scheduling
Journal of Heuristics
Improved Large-Step Markov Chain Variants for the Symmetric TSP
Journal of Heuristics
A Genetic/Tabu Thresholding Hybrid Algorithm for the ProcessAllocation Problem
Journal of Heuristics
Combinatorial Optimization by Dynamic Contraction
Journal of Heuristics
A Genetic Algorithm for the Multidimensional Knapsack Problem
Journal of Heuristics
Crossing Over Genetic Algorithms: The Sugal Generalised GA
Journal of Heuristics
A Genetic Approach for Solving a Scheduling Problem in aRobotized Analytical System
Journal of Heuristics
Constraint Handling in Genetic Algorithms: The Set Partitioning Problem
Journal of Heuristics
Stable Marriage and Genetic Algorithms: A Fertile Union
Journal of Heuristics
A Taxonomy of Evolutionary Algorithms in Combinatorial Optimization
Journal of Heuristics
Schemata, Distributions and Graphical Models in Evolutionary Optimization
Journal of Heuristics
A Hybrid Genetic Algorithm for the Single Machine Scheduling Problem
Journal of Heuristics
Design of Stacked Self-Healing Rings Using a Genetic Algorithm
Journal of Heuristics
Detecting and Preventing Routing Problems in the Planning Process ofCCSS #7 Networks
Journal of Heuristics
Global Multiobjective Optimization Using Evolutionary Algorithms
Journal of Heuristics
Simultaneously Applying Multiple Mutation Operators in Genetic Algorithms
Journal of Heuristics
On the Convergence of Tabu Search
Journal of Heuristics
An Evolution Program for Non-Linear Transportation Problems
Journal of Heuristics
Comparison of Algorithms for the Degree Constrained Minimum Spanning Tree
Journal of Heuristics
A Seeded Memetic Algorithm for Large Unit Commitment Problems
Journal of Heuristics
Hybrid Genetic Algorithm for DNA Sequencing with Errors
Journal of Heuristics
A Hybrid Genetic Algorithm for Assembly Line Balancing
Journal of Heuristics
Manufacturing in the Digital Age: Exploiting Information Technologies for Product Realization
Information Systems Frontiers
Evolution in Groups: A Genetic Algorithm Approach to Group Decision Support Systems
Information Technology and Management
Integrated PID-type Learning and Fuzzy Control for Flexible-joint Manipulators
Journal of Intelligent and Robotic Systems
Intelligent Control for an Acrobot
Journal of Intelligent and Robotic Systems
Cognitive Architecture for Robust Adaptive Control of Robots in a Team
Journal of Intelligent and Robotic Systems
Fuzzy-enhanced Adaptive Control for Flexible Drive System with Friction Using Genetic Algorithms
Journal of Intelligent and Robotic Systems
Contact Friction Compensation for Robots Using Genetic Learning Algorithms
Journal of Intelligent and Robotic Systems
Collision-Free Cartesian Trajectory Generation Using Raster Scanning and Genetic Algorithms
Journal of Intelligent and Robotic Systems
Guest Editorial: Neural, Fuzzy and Genetic Techniques in Robotics and Control
Journal of Intelligent and Robotic Systems
Learning Complex Tasks Using a Stepwise Approach
Journal of Intelligent and Robotic Systems
Genetic Tuning of PID Controllers Using a Neural Network Model: A Seesaw Example
Journal of Intelligent and Robotic Systems
Hierarchical Fuzzy Control for C-Axis of CNC Turning Centers Using Genetic Algorithms
Journal of Intelligent and Robotic Systems
RTCS: a Reactive with Tags Classifier System
Journal of Intelligent and Robotic Systems
Computerised Auto-Scoring System Based Upon Feature Extraction and Neural Network Technologies
Journal of Intelligent and Robotic Systems
A Genetic Approach for Simultaneous Design of Membership Functions and Fuzzy Control Rules
Journal of Intelligent and Robotic Systems
A Two-Layer Robot Controller Design Using Evolutionary Algorithms
Journal of Intelligent and Robotic Systems
A Theoretical Approach of an Intelligent Robot Gripper to Grasp Polygon Shaped Objects
Journal of Intelligent and Robotic Systems
Automated Recurrent Neural Network Design of a Neural Controller in a Custom Power Device
Journal of Intelligent and Robotic Systems
Computational Intelligence Techniques for Short-Term Electric Load Forecasting
Journal of Intelligent and Robotic Systems
The Effect of Evolution in Artificial Life Learning Behavior
Journal of Intelligent and Robotic Systems
A Discrete Method for Time-Optimal Motion Planning of a Class of Mobile Robots
Journal of Intelligent and Robotic Systems
Journal of Intelligent and Robotic Systems
Self-Tuning of the Fuzzy Inference Rule by Integrated Method
Journal of Intelligent and Robotic Systems
A Genetic Algorithm for Mobile Robot Localization Using Ultrasonic Sensors
Journal of Intelligent and Robotic Systems
The Hyperbell Algorithm for Global Optimization: A Random Walk Using Cauchy Densities
Journal of Global Optimization
A Hybrid Genetic Algorithm for Nonconvex Function Minimization
Journal of Global Optimization
Journal of Global Optimization
Protein Conformation of a Lattice Model Using Tabu Search
Journal of Global Optimization
A Crystal Growth Approach for Topographical Global Optimization
Journal of Global Optimization
FRACTOP: A Geometric Partitioning Metaheuristic for Global Optimization
Journal of Global Optimization
Two Strategies of Adaptive Cluster Covering with Descent and Their Comparison to Other Algorithms
Journal of Global Optimization
Surmounting the Multiple-Minima Problem in Protein Folding
Journal of Global Optimization
A Combined Topographical Search Strategy with Ellipsometric Application
Journal of Global Optimization
A novel metaheuristics approach for continuous global optimization
Journal of Global Optimization
Bayesian heuristic approach to global optimization and examples
Journal of Global Optimization
Structural Optimization with FEM-based Shakedown Analyses
Journal of Global Optimization
The Frame Problem: An AI Fairy Tale
Minds and Machines
Made to Measure: Ecological Rationality in Structured Environments
Minds and Machines
Heuristics in Programming of Nondeterministic Games
Programming and Computing Software
Genetic-Based Stereo Algorithm and Disparity Map Evaluation
International Journal of Computer Vision
Testing real-time systems using genetic algorithms
Software Quality Control
Decomposing Bayesian networks: triangulation of the moral graph with genetic algorithms
Statistics and Computing
Numerical maximum likelihood estimation for the g-and-k and generalized g-and-h distributions
Statistics and Computing
Improving flexibility and efficiency by adding parallelism to genetic algorithms
Statistics and Computing
An Evaluation of EvolutionaryGeneralisation in Genetic Programming
Artificial Intelligence Review
Recent approaches to global optimization problems through Particle Swarm Optimization
Natural Computing: an international journal
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
A genetic classification error method for speech recognition
Signal Processing
Evolutionary local-search with extremal optimization
Neural, Parallel & Scientific Computations
Extracting compact fuzzy rules based on adaptive data approximation using B-splines
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: Intelligent information systems and applications
A test of genetic algorithms in relevance feedback
Information Processing and Management: an International Journal
Fuzzy Sets and Systems - Featured Issue: Selected papers from ACIDCA 2000
A genetic algorithm to minimize maximum lateness on a batch processing machine
Computers and Operations Research
A new evolutionary approach to cutting stock problems with and without contiguity
Computers and Operations Research
Genetic Algorithms for the Travelling Salesman Problem: A Review of Representations and Operators
Artificial Intelligence Review
Evolutionary Algorithms for Multi-Objective Optimization: Performance Assessments and Comparisons
Artificial Intelligence Review
Neural methods for antenna array signal processing: a review
Signal Processing
Single machine scheduling with nonlinear lateness cost functions and fuzzy due dates
Nonlinear Analysis: Real World Applications
On the use of genetic algorithms to solve location problems
Computers and Operations Research - Location analysis
DOA Estimation using fast EM and SAGE Algorithms
Signal Processing - Image and Video Coding beyond Standards
A new approach to global optimization using a closed loop control system with fuzzy logic controller
Advances in Engineering Software
Supporting evolution in a multi-agent cooperative design environment
Advances in Engineering Software
Application of artificial neural networks to optimum bit selection
Computers & Geosciences
Extensive Testing of a Hybrid Genetic Algorithm for Solving Quadratic Assignment Problems
Computational Optimization and Applications
Hard handoff minimization using genetic algorithms
Signal Processing
ϵ-Descending Support Vector Machines for Financial Time Series Forecasting
Neural Processing Letters
Σynergos—Synergetic VisionResearch
Real-Time Systems
Neural computing increases robot adaptivity
Natural Computing: an international journal
Segmentation of MR and CT Images Using a Hybrid Neural Network Trained by Genetic Algorithms
Neural Processing Letters
A New \mathcal{NP}-Complete Problem and Public-Key Identification
Designs, Codes and Cryptography
Crossover in Grammatical Evolution
Genetic Programming and Evolvable Machines
On Using Tabu Search for Design Automation of VLSI Systems
Journal of Heuristics
Fuzzy Control of HVAC Systems Optimized by Genetic Algorithms
Applied Intelligence
Embedding Branch and Bound within Evolutionary Algorithms
Applied Intelligence
A parallel genetic algorithm to solve the set-covering problem
Computers and Operations Research
Creatures: Entertainment Software Agents with Artificial Life
Autonomous Agents and Multi-Agent Systems
Negotiation on Data Allocation in Multi-Agent Environments
Autonomous Agents and Multi-Agent Systems
Behavioral Self-Organization in Lifelike Synthetic Agents
Autonomous Agents and Multi-Agent Systems
Evaluation of Neural and Genetic Algorithms for Synthesizing Parallel Storage Schemes
International Journal of Parallel Programming
Visualization and Genetic Algorithms in Minimax Theory for Nonlinear Functionals
Journal of Scientific Computing
Decision Trees: An Overview and Their Use in Medicine
Journal of Medical Systems
Evolution in Medical Decision Making
Journal of Medical Systems
Synchronisation Techniques for HIPERLAN
Wireless Personal Communications: An International Journal
Mobile Station Positioning Using GSM Cellular Phone and Artificial Neural Networks
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Genetic engineering versus natural evolution: genetic algorithms with deterministic operators
Journal of Systems Architecture: the EUROMICRO Journal
A Parallel Collision-Avoidance Algorithm for Robot Manipulators
IEEE Concurrency
Strategies for Parallel Data Mining
IEEE Concurrency
IEEE MultiMedia
Optimizing Power in ASIC Behavioral Synthesis
IEEE Design & Test
Efficient Sequential Test Generation Based on Logic Simulation
IEEE Design & Test
IEEE Micro
IEEE Software
Graph Partitioning Using Learning Automata
IEEE Transactions on Computers
Genetic Algorithm and Graph Partitioning
IEEE Transactions on Computers
A Browser for Large Knowledge Bases Based on a Hybrid Distributed/Local Connectionist Architecture
IEEE Transactions on Knowledge and Data Engineering
Global Optimization for Satisfiability (SAT) Problem
IEEE Transactions on Knowledge and Data Engineering
Allocating Data and Operations to Nodes in Distributed Database Design
IEEE Transactions on Knowledge and Data Engineering
Genetic Search: Analysis Using Fitness Moments
IEEE Transactions on Knowledge and Data Engineering
Multiprocessor Document Allocation: A Genetic Algorithm Approach
IEEE Transactions on Knowledge and Data Engineering
Debiasing Training Data for Inductive Expert System Construction
IEEE Transactions on Knowledge and Data Engineering
Geometric Primitive Extraction Using a Genetic Algorithm
IEEE Transactions on Pattern Analysis and Machine Intelligence
Generating Image Filters for Target Recognition by Genetic Learning
IEEE Transactions on Pattern Analysis and Machine Intelligence
Learning Compatibility Coefficients for Relaxation Labeling Processes
IEEE Transactions on Pattern Analysis and Machine Intelligence
Optic Flow Field Segmentation and Motion Estimation Using a Robust Genetic Partitioning Algorithm
IEEE Transactions on Pattern Analysis and Machine Intelligence
Toward Automatic Simulation of Aging Effects on Face Images
IEEE Transactions on Pattern Analysis and Machine Intelligence
A Genetic Algorithm for Multiprocessor Scheduling
IEEE Transactions on Parallel and Distributed Systems
Packet Synchronization for Synchronous Optical Deflection-Routed Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Observations on Using Genetic-Algorithms for Channel Allocation in Mobile Computing
IEEE Transactions on Parallel and Distributed Systems
Sequential and Parallel Cellular Automata-Based Scheduling Algorithms
IEEE Transactions on Parallel and Distributed Systems
Evolving Cellular Automata for Location Management in Mobile Computing Networks
IEEE Transactions on Parallel and Distributed Systems
Artificial Life: A Constructive Lower Bound for Artificial Intelligence
IEEE Expert: Intelligent Systems and Their Applications
Learning Control Strategies for Chemical Processes: A Distributed Approach
IEEE Expert: Intelligent Systems and Their Applications
IEEE Expert: Intelligent Systems and Their Applications
Using Genetic Algorithms to Design Laminated Composite Structures
IEEE Expert: Intelligent Systems and Their Applications
Tuning Numeric Parameters to Troubleshoot a Telephone-Network Loop
IEEE Expert: Intelligent Systems and Their Applications
IEEE Intelligent Systems
Generating Software Test Data by Evolution
IEEE Transactions on Software Engineering
The GA-P: A Genetic Algorithm and Genetic Programming Hybrid
IEEE Expert: Intelligent Systems and Their Applications
Generating Pattern- Recognition Systems Using Evolutionary Learning
IEEE Expert: Intelligent Systems and Their Applications
Hybridizing a Genetic Algorithm with Rule-Based Reasoning for Production Planning
IEEE Expert: Intelligent Systems and Their Applications
Inducing Logic Programs With Genetic Algorithms: The Genetic Logic Programming System
IEEE Expert: Intelligent Systems and Their Applications
A hybrid global optimization method: the one-dimensional case
Journal of Computational and Applied Mathematics
How to analyse evolutionary algorithms
Theoretical Computer Science - Natural computing
Theoretical Computer Science - Natural computing
GA performance distributions and randomly generated binary constraint satisfaction problems
Theoretical Computer Science - Natural computing
Segmentation of ultrasound images by using a hybrid neural network
Pattern Recognition Letters
Design of an optimal nearest neighbor classifier using an intelligent genetic algorithm
Pattern Recognition Letters
Pattern Recognition Letters
A DTW-based probability model for speaker feature analysis and data mining
Pattern Recognition Letters
Dynamic flies: a new pattern recognition tool applied to stereo sequence processing
Pattern Recognition Letters
Hybrid simplex genetic algorithm for blind equalization using RBF networks
Mathematics and Computers in Simulation
Journal of Parallel and Distributed Computing - Problems in parallel and distributed computing: Solutions based on evolutionary paradigms
Heterogeneous computing and parallel genetic algorithms
Journal of Parallel and Distributed Computing - Problems in parallel and distributed computing: Solutions based on evolutionary paradigms
An evolutionary technique based on K-means algorithm for optimal clustering in RN
Information Sciences—Applications: An International Journal
Fuzzy Sets and Systems - Control and applications
Applied Mathematics and Computation
Multiple setup PCB assembly planning using genetic algorithms
Computers and Industrial Engineering
Study on multi-stage logistic chain network: a spanning tree-based genetic algorithm approach
Computers and Industrial Engineering - Supply chain management
Predicting project delivery rates using the Naive-Bayes classifier
Journal of Software Maintenance: Research and Practice
Computers and Industrial Engineering
An accurate COG Defuzzifier design using Lamarckian co-adaptation of learning and evolution
Fuzzy Sets and Systems - Fuzzy models
On-line fuzzy identification using genetic algorithms
Fuzzy Sets and Systems - Fuzzy systems
Reduction of fuzzy control rules by means of premise learning - method and case study
Fuzzy Sets and Systems - Fuzzy systems
Agents in E-commerce: state of the art
Knowledge and Information Systems
Multi-item fuzzy EOQ models using genetic algorithm
Computers and Industrial Engineering
Network random keys: a tree representation scheme for genetic and evolutionary algorithms
Evolutionary Computation
Evolving neural networks through augmenting topologies
Evolutionary Computation
A species conserving genetic algorithm for multimodal function optimization
Evolutionary Computation
Combining convergence and diversity in evolutionary multiobjective optimization
Evolutionary Computation
Spin-flip symmetry and synchronization
Evolutionary Computation
Evolutionary Computation
Heuristic algorithms for the optimisation of telecommunication networks
Neural, Parallel & Scientific Computations
A proposal of SIRMs dynamically connected fuzzy inference model for plural input fuzzy control
Fuzzy Sets and Systems - Fuzzy control
Estimating parameters for procedural texturing by genetic algorithms
Graphical Models
Learning premises of fuzzy rules for knowledge acquisition in classification problems
Knowledge and Information Systems
On the Euclidean 3-matching problem
Nordic Journal of Computing
Computers and Industrial Engineering
Fuzzy simulated evolution algorithm for VLSI cell placement
Computers and Industrial Engineering - Special issue: Focussed issue on applied meta-heuristics
Finding fuzzy classification rules using data mining techniques
Pattern Recognition Letters
Feature extraction by shape-adapted local discriminant bases
Signal Processing
A Comparison of Three Artificial Life Techniques for Reporting Cell Planning in Mobile Computing
IEEE Transactions on Parallel and Distributed Systems
Rough-Fuzzy MLP: Modular Evolution, Rule Generation, and Evaluation
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Evolutionary approaches to the design and organization of manufacturing systems
Computers and Industrial Engineering
Progress in computer research
Machines that learn to play games
Collective behavior evolution in a group of cooperating agents
Intelligent agents and their applications
Coevolutionary Dynamics of a Multi-population Genetic Programming System
ECAL '99 Proceedings of the 5th European Conference on Advances in Artificial Life
Can Computers Have Sentiments? The Case of Risk Aversion and Utility for Wealth
ECAL '99 Proceedings of the 5th European Conference on Advances in Artificial Life
Studying Animals through Artificial Evolution: The Cricket Case
ECAL '99 Proceedings of the 5th European Conference on Advances in Artificial Life
Species Formation in Evolving Finite State Machines
ECAL '99 Proceedings of the 5th European Conference on Advances in Artificial Life
Framsticks: Towards a Simulation of a Nature-Like World, Creatures and Evolution
ECAL '99 Proceedings of the 5th European Conference on Advances in Artificial Life
Evolving Mutation Rates for the Self-Optimisation of Genetic Algorithms
ECAL '99 Proceedings of the 5th European Conference on Advances in Artificial Life
Mobile Robot Control Based on Boolean Logic with Internal Memory
ECAL '01 Proceedings of the 6th European Conference on Advances in Artificial Life
Taxonomy in Alife. Measures of Similarity for Complex Artificial Organisms
ECAL '01 Proceedings of the 6th European Conference on Advances in Artificial Life
Evolving Multi-agent Networks in Structured Environments
ECAL '01 Proceedings of the 6th European Conference on Advances in Artificial Life
A Three-Dimensional Environment for Self-Reproducing Programs
ECAL '01 Proceedings of the 6th European Conference on Advances in Artificial Life
Verification of Text Transcription History by Using Evolutionary Algorithms
ECAL '01 Proceedings of the 6th European Conference on Advances in Artificial Life
A Study of Replicators and Hypercycles by Typogenetics
ECAL '01 Proceedings of the 6th European Conference on Advances in Artificial Life
Genetic Model Optimization for Hausdorff Distance-Based Face Localization
ECCV '02 Proceedings of the International ECCV 2002 Workshop Copenhagen on Biometric Authentication
Least Committment Graph Matching by Evolutionary Optimisation
ECCV '00 Proceedings of the 6th European Conference on Computer Vision-Part I
Mining TCP/IP Traffic for Network Intrusion Detection by Using a Distributed Genetic Algorithm
ECML '00 Proceedings of the 11th European Conference on Machine Learning
A Study on Using Genetic Niching for Query Optimisation in Document Retrieval
Proceedings of the 24th BCS-IRSG European Colloquium on IR Research: Advances in Information Retrieval
Symbolic Discriminant Analysis for Mining Gene Expression Patterns
EMCL '01 Proceedings of the 12th European Conference on Machine Learning
Approximate Processing of Multiway Spatial Joins in Very Large Databases
EDBT '02 Proceedings of the 8th International Conference on Extending Database Technology: Advances in Database Technology
Expressing Population Based Optimization Heuristics Using PLATO
EPIA '99 Proceedings of the 9th Portuguese Conference on Artificial Intelligence: Progress in Artificial Intelligence
Optimising an Evolutionary Algorithm for Scheduling
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Benchmarking Cost-Assignment Schemes for Multi-objective Evolutionary Algorithms
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Evolutionary Wavelet Bases in Signal Spaces
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
A Faster Genetic Clustering Algorithm
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Supervised Evolutionary Methods in Aerodynamic Design Optimization
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Genetic Algorithm Based Heuristic Measure for Pattern Similarity in Kirlian Photographs
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
An Effective Implementation of a Direct Spanning Tree Representation in GAs
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Genetic Snakes for Color Images Segmentation
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
A Study on the Effect of Cooperative Evolution on Concept Learning
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Optimizing Employee Schedules by a Hybrid Genetic Algorithm
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Evolutive Modeling of TCP/IP Network Traffic for Intrusion Detection
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Trajectory Controller Network and Its Design Automation Through Evolutionary Computing
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Multimodal Performance Profiles on the Adaptive Distributed Database Management Problem
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
A Genetic Algorithm with Local Search for Solving Job Shop Problems
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
Evolutionary Techniques for Minimizing Test Signals Application Time
Proceedings of the Applications of Evolutionary Computing on EvoWorkshops 2002: EvoCOP, EvoIASP, EvoSTIM/EvoPLAN
Application of Genetic Algorithms in Nanoscience: Cluster Geometry Optimization
Proceedings of the Applications of Evolutionary Computing on EvoWorkshops 2002: EvoCOP, EvoIASP, EvoSTIM/EvoPLAN
Proceedings of the Applications of Evolutionary Computing on EvoWorkshops 2002: EvoCOP, EvoIASP, EvoSTIM/EvoPLAN
Robot Learning Using Gate-Level Evolvable Hardware
EWLR-6 Proceedings of the 6th European Workshop on Learning Robots
Building ARMA Models with Genetic Algorithms
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Path Tracing in Genetic Algorithms Applied to the Multiconstrained Knapsack Problem
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
A Symbol Classifier Able to Reject Wrong Shapes for Document Recognition Systems
GREC '99 Selected Papers from the Third International Workshop on Graphics Recognition, Recent Advances
ICCS '02 Proceedings of the International Conference on Computational Science-Part III
Complex Situation Recognition on the Basis of Neural Networks in Shipboard Intelligence System
ICCS '02 Proceedings of the International Conference on Computational Science-Part III
Fast Automatic Generation of DSP Algorithms
ICCS '01 Proceedings of the International Conference on Computational Sciences-Part I
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
A Genetic Approach for Two Dimensional Packing with Constraints
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
Speech Synthesis Using Neural Networks Trained by an Evolutionary Algorithm
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
FEM-Based Structural Optimization with Respect to Shakedown Constraints
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
One Dilemma - Different Points of View
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
Parametric Optimization in Data Mining Incorporated with GA-Based Search
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
Evolving Cellular Automata for Self-Testing Hardware
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
Genetic Algorithm-Based Methodology for Pattern Recognition Hardware
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
From the Sea to the Sidewalk: The Evolution of Hexapod Walking Gaits by a Genetic Algorithm
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
Human-Like Dynamic Walking for a Biped Robot Using Genetic Algorithm
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
Two-Step Incremental Evolution of a Prosthetic Hand Controller Based on Digital Logic Gates
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
Balancing Samples' Contributions on GA Learning
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
Implementation of a Gate-Level Evolvable Hardware Chip
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
A Minimum Description Length Approach to Statistical Shape Modelling
IPMI '01 Proceedings of the 17th International Conference on Information Processing in Medical Imaging
Resource Planning in Converged Networks
HPCN Europe 2001 Proceedings of the 9th International Conference on High-Performance Computing and Networking
A Genetic Algorithm-Based Segmentation for Automatic VOP Generation
IDMS/PROMS 2002 Proceedings of the Joint International Workshops on Interactive Distributed Multimedia Systems and Protocols for Multimedia Systems: Protocols and Systems for Interactive Distributed Multimedia
Real Time Interactive Visualization System for Flexible Molecular Docking
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Analysis of the Numerical Effects of Parallelism on a Parallel Genetic Algorithm
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Parallel and Distributed Computing with Coevolutionary Algorithms
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Evolutionary Methods for the Antenna Parameter Setting Problem
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Real Time Interactive Visualization System for Flexible Molecular Docking
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Artificial Life Techniques for Reporting Cell Planning in Mobile Computing
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
A Genetic Algorithm for Optimization of Logical Topologies in Optical Networks
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Population Learning Algorithm Versus Evolutionary Computation
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Distributed Nearest Neighbor Heuristic with Upper Bound under the PVM
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Multiprocessor Scheduling with Support by Genetic Algorithms-Based Learning Classifier System
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
Using the Cross-Entropy Method to Guide/Govern Mobile Agent's Path Finding in Networks
MATA '01 Proceedings of the Third International Workshop on Mobile Agents for Telecommunication Applications
Tools and Techniques for Measuring and Improving Grid Performance
IWDC '02 Proceedings of the 4th International Workshop on Distributed Computing, Mobile and Wireless Computing
Design of 1-FT Communication Network under Budget Constraint
IWDC '02 Proceedings of the 4th International Workshop on Distributed Computing, Mobile and Wireless Computing
Grouping Character Shapes by Means of Genetic Programming
IWVF-4 Proceedings of the 4th International Workshop on Visual Form
Soft Computing Pattern Recognition: Principles, Integrations, and Data Mining
Proceedings of the Joint JSAI 2001 Workshop on New Frontiers in Artificial Intelligence
Proceedings of the Joint JSAI 2001 Workshop on New Frontiers in Artificial Intelligence
Modeling Adaptive Multi-Agent Systems Inspired by Developmental Biology
Proceedings of the 9th ECCAI-ACAI/EASSS 2001, AEMAS 2001, HoloMAS 2001 on Multi-Agent-Systems and Applications II-Selected Revised Papers
A Parallel Transitive Closure Computation Algorithm for VLSI Test Generation
PARA '02 Proceedings of the 6th International Conference on Applied Parallel Computing Advanced Scientific Computing
PARA '02 Proceedings of the 6th International Conference on Applied Parallel Computing Advanced Scientific Computing
MLDM '01 Proceedings of the Second International Workshop on Machine Learning and Data Mining in Pattern Recognition
PSA Approach to Population Models for Parallel Genetic Algorithms
PaCT '999 Proceedings of the 5th International Conference on Parallel Computing Technologies
Evolutionary Search for Smooth Maps in Motor Control Unit Calibration
SAGA '01 Proceedings of the International Symposium on Stochastic Algorithms: Foundations and Applications
EPL-Julia the High-Performance Library for Evolutionary Computations
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
Expanding from Discrete to Continuous Estimation of Distribution Algorithms: The IDEA
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
A New Genetic Algorithms Working on State Domain Order Statistics
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
Using Dynastic Exploring Recombination to Promote Diversity in Genetic Search
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
Optimizing through Co-evolutionary Avalanches
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
A New Bootstrapping Method to Improve Classification Performance in Learning Classifier Systems
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
A Hybrid GA for the Edge-Biconnectivity Augmentation Problem
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
An Integrated On-Line Learning System for Evolving Programmable Logic Array Controllers
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
The Origination of Diversity by Adaptive Clustering
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
Competitive Segmentation: A Struggle for Image Space
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
NK-Landscapes as Test Functions for Evaluation of Host-Parasite Algorithms
PPSN VI Proceedings of the 6th International Conference on Parallel Problem Solving from Nature
Adaptive Reservoir Genetic Algorithm with On-Line Decision Making
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Binary Representations of Integers and the Performance of Selectorecombinative Genetic Algorithms
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Learning and Evolution by Minimization of Mutual Information
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Opposites Attract: Complementary Phenotype Selection for Crossover in Genetic Programming
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Cellular Automata and Genetic Algorithms for Parallel Problem Solving in Human Genetics
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Optimisation of Multilayer Perceptrons Using a Distributed Evolutionary Algorithm with SOAP
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
A Hybrid Evolutive-Genetic Strategy for the Inverse Fractal Problem of IFS Models
IBERAMIA-SBIA '00 Proceedings of the International Joint Conference, 7th Ibero-American Conference on AI: Advances in Artificial Intelligence
Off-Line Evolution of Behaviour for Autonomous Agents in Real-Time Computer Games
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
A Parallel Evolutionary Algorithm for Stochastic Natural Language Parsing
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
An Evolutionary Algorithm for Controlling Chaos: The Use of Multi-objective Fitness Functions
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Real-Coded Parameter-Free Genetic Algorithm for Job-Shop Scheduling Problems
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Synthesizing Graphical Models Employing Explaining Away
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Evolutive Identification of Fuzzy Systems for Time-Series Prediction
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Evolving Populations of Agents with Personalities in the Minority Game
IBERAMIA-SBIA '00 Proceedings of the International Joint Conference, 7th Ibero-American Conference on AI: Advances in Artificial Intelligence
Attribute Selection with a Multi-objective Genetic Algorithm
SBIA '02 Proceedings of the 16th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
Discovering Association Rules in Large, Dense Databases
PKDD '00 Proceedings of the 4th European Conference on Principles of Data Mining and Knowledge Discovery
Animating the Evolution Process of Genetic Algorithms
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
Investigation of a Cellular Genetic Algorithm that Mimics Landscape Ecology
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
A Study of Bayesian Clustering of a Document Set Based on GA
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
Optimal Power Flow Method Using Evolutionary Programming
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
Solving Radial Topology Constrained Problems with Evolutionary Algorithms
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
Continuous Optimization Using Elite Genetic Algorithms With Adaptive Mutations
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
Multiple Sequence Alignment Using Parallel Genetic Algorithms
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
Gaphyl: A Genetic Algorithms Approach to Cladistics
PKDD '01 Proceedings of the 5th European Conference on Principles of Data Mining and Knowledge Discovery
Data Reduction Using Multiple Models Integration
PKDD '01 Proceedings of the 5th European Conference on Principles of Data Mining and Knowledge Discovery
Analysis on the Island Model Parallel Genetic Algorithms for the Genetic Drifts
SEAL'98 Selected papers from the Second Asia-Pacific Conference on Simulated Evolution and Learning on Simulated Evolution and Learning
SETN '02 Proceedings of the Second Hellenic Conference on AI: Methods and Applications of Artificial Intelligence
MultiCAD-GA: A System for the Design of 3D Forms Based on Genetic Algorithms and Human Evaluation
SETN '02 Proceedings of the Second Hellenic Conference on AI: Methods and Applications of Artificial Intelligence
CDIS: Towards a Computer Immune System for Detecting Network Intrusions
RAID '00 Proceedings of the 4th International Symposium on Recent Advances in Intrusion Detection
Genetic Algorithms: Two Different Elitism Operators for Stochastic and Deterministic Applications
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
Texture Classification Based on Coevolution Approach in Multiwavelet Feature Space
Proceedings of the Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Optimizing Classifiers by Genetic Algorithms
WAIM '00 Proceedings of the First International Conference on Web-Age Information Management
Genetic Algorithms for Exploratory Data Analysis
Proceedings of the Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Extending the Search Strategy in a Query Optimizer
VLDB '91 Proceedings of the 17th International Conference on Very Large Data Bases
Identifying Temporal Patterns for Characterization and Prediction of Financial Time Series Events
TSDM '00 Proceedings of the First International Workshop on Temporal, Spatial, and Spatio-Temporal Data Mining-Revised Papers
Real-Coded Genetic Algorithms Based on Mathematical Morphology
Proceedings of the Joint IAPR International Workshops on Advances in Pattern Recognition
SPRINT: A Scalable Parallel Classifier for Data Mining
VLDB '96 Proceedings of the 22th International Conference on Very Large Data Bases
Selection Strategies for Ambiguous Graph Matching by Evolutionary Optimisation
Proceedings of the Joint IAPR International Workshops on Advances in Pattern Recognition
Natural Optimization Algorithms for Optimal Regression Testing
COMPSAC '97 Proceedings of the 21st International Computer Software and Applications Conference
Active Gateway: A Facility for Video Conferencing Traffic Control
COMPSAC '97 Proceedings of the 21st International Computer Software and Applications Conference
Online Handwritten Signature Verification for Electronic Commerce over the Internet
WI '01 Proceedings of the First Asia-Pacific Conference on Web Intelligence: Research and Development
Decision Queue Classifier for Supervised Learning Using Rotated Hyperboxes
IBERAMIA '98 Proceedings of the 6th Ibero-American Conference on AI: Progress in Artificial Intelligence
Recognition of Partially Occluded Flat Objects
IBERAMIA '98 Proceedings of the 6th Ibero-American Conference on AI: Progress in Artificial Intelligence
Genetic Integration in a Multiagent System for Job-Shop Scheduling
IBERAMIA '98 Proceedings of the 6th Ibero-American Conference on AI: Progress in Artificial Intelligence
Human Face Identification Using Invariant Descriptions and a Genetic Algorithm
IBERAMIA '98 Proceedings of the 6th Ibero-American Conference on AI: Progress in Artificial Intelligence
A Comparison of PCA and GA Selected Features for Cloud Field Classification
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
Applying Neural Networks and Genetic Algorithms to the Separation of Sources
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
Evolution of Multi-adaptive Discretization Intervals for a Rule-Based Genetic Learning System
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
A Genetic Algorithm for Solving a Production and Delivery Scheduling Problem with Time Windows
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
Genetic Algorithms and Biological Images Restoration: Preliminary Report
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
New Generic Hybrids Based upon Genetic Algorithms
IBERAMIA 2002 Proceedings of the 8th Ibero-American Conference on AI: Advances in Artificial Intelligence
Designing an Efficient Fuzzy classifier Using an Intelligent Genetic Algorithm
COMPSAC '00 24th International Computer Software and Applications Conference
AI '02 Proceedings of the 15th Australian Joint Conference on Artificial Intelligence: Advances in Artificial Intelligence
Genetic Scheduling on Minimal Processing Elements in the Grid
AI '02 Proceedings of the 15th Australian Joint Conference on Artificial Intelligence: Advances in Artificial Intelligence
A Hybrid Genetic Algorithm for School Timetabling
AI '02 Proceedings of the 15th Australian Joint Conference on Artificial Intelligence: Advances in Artificial Intelligence
Evolutionary Prefetching and Caching in an Independent Storage Units Model
ADVIS '00 Proceedings of the First International Conference on Advances in Information Systems
Task Scheduling with Conflicting Objectives
ADVIS '02 Proceedings of the Second International Conference on Advances in Information Systems
Face Recognition Using Support Vector Machines with the Feature Set Extracted by Genetic Algorithms
AVBPA '01 Proceedings of the Third International Conference on Audio- and Video-Based Biometric Person Authentication
Genetic Algorithm for Materialized View Selection in Data Warehouse Environments
DaWaK '99 Proceedings of the First International Conference on Data Warehousing and Knowledge Discovery
ECOOP '01 Proceedings of the 15th European Conference on Object-Oriented Programming
A Multi-agent Study of Interethnic Cooperation
EASSS '01 Selected Tutorial Papers from the 9th ECCAI Advanced Course ACAI 2001 and Agent Link's 3rd European Agent Systems Summer School on Multi-Agent Systems and Applications
Being Reactive by Exchanging Roles: An Empirical Study
Balancing Reactivity and Social Deliberation in Multi-Agent Systems, From RoboCup to Real-World Applications (selected papers from the ECAI 2000 Workshop and additional contributions)
ECAI '00 Proceedings of the Workshop on Local Search for Planning and Scheduling-Revised Papers
Meta-heuristics: The State of the Art
ECAI '00 Proceedings of the Workshop on Local Search for Planning and Scheduling-Revised Papers
Case-Based Reasoning for Estuarine Model Design
ECCBR '02 Proceedings of the 6th European Conference on Advances in Case-Based Reasoning
Controlled Markov Chain Optimization of Genetic Algorithms
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
Pareto-optimality in Scheduling Problems
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
Search of Optimal Error Correcting Codes with Genetic Algorithms
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
Multi-objected Optimization in Evolutionary Algorithms Using Satisfiability Classes
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
Determination of Decision Rules on the Basis of Genetic Algorithms
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
Applying Heuristic Algorithms on Structuring Europeanwide Distribution Networks
Proceedings of the 6th International Conference on Computational Intelligence, Theory and Applications: Fuzzy Days
On-Line Signature Verification Using a Computational Intelligence Approach
Proceedings of the International Conference, 7th Fuzzy Days on Computational Intelligence, Theory and Applications
Too Much Knowledge Hurts: Acceleration of Genetic Programs for Learning Heuristics
Proceedings of the International Conference, 7th Fuzzy Days on Computational Intelligence, Theory and Applications
A New Modified Genetic Algorithm for Multiuser Detection in DS/CDMA Systems
Proceedings of the International Conference, 7th Fuzzy Days on Computational Intelligence, Theory and Applications
Hybrid Two-Population Genetic Algorithm
Proceedings of the International Conference, 7th Fuzzy Days on Computational Intelligence, Theory and Applications
A Cluster-Based Evolutionary Algorithm for Multi-objective Optimization
Proceedings of the International Conference, 7th Fuzzy Days on Computational Intelligence, Theory and Applications
A Generalisable Measure of Self-Organisation and Emergence
ICANN '01 Proceedings of the International Conference on Artificial Neural Networks
Theoretical Aspects of Evolutionary Algorithms
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Input and Output Feature Selection
ICANN '02 Proceedings of the International Conference on Artificial Neural Networks
An Approach to Encode Multilayer Perceptrons
ICANN '02 Proceedings of the International Conference on Artificial Neural Networks
Feature Selection via Genetic Optimization
ICANN '02 Proceedings of the International Conference on Artificial Neural Networks
Does Crossover Probability Depend on Fitness and Hamming Differences in Genetic Algorithms?
ICANN '02 Proceedings of the International Conference on Artificial Neural Networks
Texture Based Look-Ahead for Decision-Tree Induction
ICAPR '01 Proceedings of the Second International Conference on Advances in Pattern Recognition
A Cascaded Genetic Algorithm for Efficient Optimization and Pattern Matching
ICAPR '01 Proceedings of the Second International Conference on Advances in Pattern Recognition
Learning and Adaptation in Robotics
ICAPR '01 Proceedings of the Second International Conference on Advances in Pattern Recognition
Combining Ordinal Financial Predictions with Genetic Programming
IDEAL '00 Proceedings of the Second International Conference on Intelligent Data Engineering and Automated Learning, Data Mining, Financial Engineering, and Intelligent Agents
ABBA - Agent Based Beaver Application - Busy Beaver in Swarm
IDEAL '02 Proceedings of the Third International Conference on Intelligent Data Engineering and Automated Learning
Artificial Intelligence in Portfolio Management
IDEAL '02 Proceedings of the Third International Conference on Intelligent Data Engineering and Automated Learning
Co-evolutionary Data Mining to Discover Rules for Fuzzy Resource Management
IDEAL '02 Proceedings of the Third International Conference on Intelligent Data Engineering and Automated Learning
Multi-agent Fuzzy Logic Resource Manager
IDEAL '02 Proceedings of the Third International Conference on Intelligent Data Engineering and Automated Learning
A Comparison of Two Techniques for Next-Day Electricity Price Forecasting
IDEAL '02 Proceedings of the Third International Conference on Intelligent Data Engineering and Automated Learning
Learning of Virtual Dealers in an Artificial Market: Comparison with Interview Data
IDEAL '00 Proceedings of the Second International Conference on Intelligent Data Engineering and Automated Learning, Data Mining, Financial Engineering, and Intelligent Agents
Integrating KPCA with an Improved Evolutionary Algorithm for Knowledge Discovery in Fault Diagnosis
IDEAL '00 Proceedings of the Second International Conference on Intelligent Data Engineering and Automated Learning, Data Mining, Financial Engineering, and Intelligent Agents
Improving Biological Sequence Property Distances by Using a Genetic Algorithm
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Bio-inspired Applications of Connectionism-Part II
What Is a Learning Classifier System?
Learning Classifier Systems, From Foundations to Applications
An Introduction to Anticipatory Classifier Systems
Learning Classifier Systems, From Foundations to Applications
Strength or Accuracy? Fitness Calculation in Learning Classifier Systems
Learning Classifier Systems, From Foundations to Applications
Learning Classifier Systems Applied to Knowledge Discovery in Clinical Research Databases
Learning Classifier Systems, From Foundations to Applications
The Fighter Aircraft LCS: A Case of Different LCS Goals and Techniques
Learning Classifier Systems, From Foundations to Applications
A Learning Classifier Systems Bibliography
Learning Classifier Systems, From Foundations to Applications
A Roadmap to the Last Decade of Learning Classifier System Research
Learning Classifier Systems, From Foundations to Applications
Non-homogeneous Classifier Systems in a Macro-evolution Process
Learning Classifier Systems, From Foundations to Applications
An Adaptive Agent Based Economic Model
Learning Classifier Systems, From Foundations to Applications
Learning Classifier Systems, From Foundations to Applications
Learning Classifier Systems Meet Multiagent Environments
IWLCS '00 Revised Papers from the Third International Workshop on Advances in Learning Classifier Systems
Using Classifier Systems as Adaptive Expert Systems for Control
IWLCS '00 Revised Papers from the Third International Workshop on Advances in Learning Classifier Systems
What Makes a Problem Hard for XCS?
IWLCS '00 Revised Papers from the Third International Workshop on Advances in Learning Classifier Systems
A Bigger Learning Classifier Systems Bibliography
IWLCS '00 Revised Papers from the Third International Workshop on Advances in Learning Classifier Systems
YACS: Combining Dynamic Programming with Generalization in Classifier Systems
IWLCS '00 Revised Papers from the Third International Workshop on Advances in Learning Classifier Systems
Strength and Money: An LCS Approach to Increasing Returns
IWLCS '00 Revised Papers from the Third International Workshop on Advances in Learning Classifier Systems
Two Views of Classifier Systems
IWLCS '01 Revised Papers from the 4th International Workshop on Advances in Learning Classifier Systems
IWLCS '01 Revised Papers from the 4th International Workshop on Advances in Learning Classifier Systems
A Minimal Model of Communication for a Multi-agent Classifier System
IWLCS '01 Revised Papers from the 4th International Workshop on Advances in Learning Classifier Systems
IWLCS '01 Revised Papers from the 4th International Workshop on Advances in Learning Classifier Systems
GAME Based QoS Provisioning in Multimedia Wideband CDMA Networks
IWQoS '01 Proceedings of the 9th International Workshop on Quality of Service
A Methodology for the Statistical Characterization of Genetic Algorithms
MICAI '02 Proceedings of the Second Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Qualitative Systems Identification for Linear Time Invariant Dynamic Systems
MICAI '02 Proceedings of the Second Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Using Run-Time Predictions to Estimate Queue Wait Times and Improve Scheduler Performance
IPPS/SPDP '99/JSSPP '99 Proceedings of the Job Scheduling Strategies for Parallel Processing
ISMIS '00 Proceedings of the 12th International Symposium on Foundations of Intelligent Systems
Learning and Evolution: An Introduction to Non-darwinian Evolutionary Computation
ISMIS '00 Proceedings of the 12th International Symposium on Foundations of Intelligent Systems
A Study on the Effect of Air on the Dynamic Motion of a MEMS Device and Its Shape Optimization
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
A New Model of Parallel Distributed Genetic Algorithms for Cluster Systems: Dual Individual DGAs
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Preprocessor to Improve Performance of GA in Determining Bending Process for Sheet Metal Industry
ISMIS '02 Proceedings of the 13th International Symposium on Foundations of Intelligent Systems
Discrete Simulations of Cadaver Kidney Allocation Schemes
ISMDA '00 Proceedings of the First International Symposium on Medical Data Analysis
ISMDA '00 Proceedings of the First International Symposium on Medical Data Analysis
Nonlinear Parametric Model Identification with Genetic Algorithms. Application to a Thermal Process
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Connectionist Models of Neurons, Learning Processes and Artificial Intelligence-Part I
Model Based Predictive Control Using Genetic Algorithms. Application to Greenhouses Climate Control
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Connectionist Models of Neurons, Learning Processes and Artificial Intelligence-Part I
Learning Adaptive Parameters with Restricted Genetic Optimization Method
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Connectionist Models of Neurons, Learning Processes and Artificial Intelligence-Part I
ISMDA '01 Proceedings of the Second International Symposium on Medical Data Analysis
Feature Selection Algorithms Applied to Parkinson's Disease
ISMDA '01 Proceedings of the Second International Symposium on Medical Data Analysis
A New Approach to Evolutionary Computation: Segregative Genetic Algorithms (SEGA)
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Connectionist Models of Neurons, Learning Processes and Artificial Intelligence-Part I
OBLIC: Classification System Using Evolutionary Algorithm
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Bio-inspired Applications of Connectionism-Part II
An Adaptive QoS-routing Algorithm for IP Networks Using Genetic Algorithms
QoS-IP 2003 Proceedings of the Second International Workshop on Quality of Service in Multiservice IP Networks
A Cultural Algorithm for Constrained Optimization
MICAI '02 Proceedings of the Second Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Covering with Reducts - A Fast Algorithm for Rule Generation
RSCTC '98 Proceedings of the First International Conference on Rough Sets and Current Trends in Computing
The Nature of Crossover Operator in Genetic Algorithms
RSCTC '00 Revised Papers from the Second International Conference on Rough Sets and Current Trends in Computing
A Multiobjective Genetic Algorithm for the Class/Teacher Timetabling Problem
PATAT '00 Selected papers from the Third International Conference on Practice and Theory of Automated Timetabling III
Three Methods to Automate the Space Allocation Process in UK Universities
PATAT '00 Selected papers from the Third International Conference on Practice and Theory of Automated Timetabling III
Distributed Fault Location in Networks Using Learning Mobile Agents
PRIMA '99 Proceedings of the Second Pacific Rim International Workshop on Multi-Agents: Approaches to Intelligent Agents
How to Design Good Results for Multiple Learning Agents in Scheduling Problems?
PRIMA '99 Proceedings of the Second Pacific Rim International Workshop on Multi-Agents: Approaches to Intelligent Agents
Individual Level Analysis Using Decision Making Features in Multiagent Based Simulation
Proceedings of the 5th Pacific Rim International Workshop on Multi Agents: Intelligent Agents and Multi-Agent Systems
Discovering Numeric Association Rules via Evolutionary Algorithm
PAKDD '02 Proceedings of the 6th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Genetic Algorithm for Mobiles Equilibrium Applied to Video Traffic
QoS-IP '01 Proceedings of the International Workshop on Quality of Service in Multiservice IP Networks
Exploring Very Large State Spaces Using Genetic Algorithms
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
A Genetic Algorithm for Satisfiability Problem in a Probabilistic Logic: A First Report
ECSQARU '01 Proceedings of the 6th European Conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
Evolutionary Behavior Selection with Activation/Termination Constraints
RoboCup 2001: Robot Soccer World Cup V
Convergence of a Hill Climbing Genetic Algorithm for Graph Matching
EMMCVPR '99 Proceedings of the Second International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
Application of Genetic Algorithms to 3-D Shape Reconstruction in an Active Stereo Vision System
EMMCVPR '01 Proceedings of the Third International Workshop on Energy Minimization Methods in Computer Vision and Pattern Recognition
Map Segmentation by Colour Cube Genetic K-Mean Clustering
ECDL '00 Proceedings of the 4th European Conference on Research and Advanced Technology for Digital Libraries
How TRURL Evolves Multiagent Worlds for Social Interaction Analysis
Community Computing and Support Systems, Social Interaction in Networked Communities [the book is based on the Kyoto Meeting on Social Interaction and Communityware, held in Kyoto, Japan, in June 1998]
Proceedings of the workshop on Deception, Fraud, and Trust in Agent Societies held during the Autonomous Agents Conference: Trust in Cyber-societies, Integrating the Human and Artificial Perspectives
Adaptive Trust and Co-operation: An Agent-Based Simulation Approach
Proceedings of the workshop on Deception, Fraud, and Trust in Agent Societies held during the Autonomous Agents Conference: Trust in Cyber-societies, Integrating the Human and Artificial Perspectives
Cross-Entropy Guided Ant-Like Agents Finding Cyclic Paths in Scarcely Meshed Networks
ANTS '02 Proceedings of the Third International Workshop on Ant Algorithms
Evolving Modules in Genetic Programming by Subtree Encapsulation
EuroGP '01 Proceedings of the 4th European Conference on Genetic Programming
Raising the Dead: Extending Evolutionary Algorithms with a Case-Based Memory
EuroGP '01 Proceedings of the 4th European Conference on Genetic Programming
Evolving Turing Machines for Biosequence Recognition and Analysis
EuroGP '01 Proceedings of the 4th European Conference on Genetic Programming
An Adaptive Mapping for Developmental Genetic Programming
EuroGP '01 Proceedings of the 4th European Conference on Genetic Programming
Crossover in Grammatical Evolution: The Search Continues
EuroGP '01 Proceedings of the 4th European Conference on Genetic Programming
Genetic Control Applied to Asset Managements
EuroGP '02 Proceedings of the 5th European Conference on Genetic Programming
Evolutionary Algorithm Approach to Bilateral Negotiations
EuroGP '02 Proceedings of the 5th European Conference on Genetic Programming
Grammatical Evolution Rules: The Mod and the Bucket Rule
EuroGP '02 Proceedings of the 5th European Conference on Genetic Programming
Genetic Algorithms Using Grammatical Evolution
EuroGP '02 Proceedings of the 5th European Conference on Genetic Programming
Maintaining the Diversity of Genetic Programs
EuroGP '02 Proceedings of the 5th European Conference on Genetic Programming
An Investigation into the Use of Different Search Strategies with Grammatical Evolution
EuroGP '02 Proceedings of the 5th European Conference on Genetic Programming
An Intelligent Decision Support System for Intrusion Detection and Response
MMM-ACNS '01 Proceedings of the International Workshop on Information Assurance in Computer Networks: Methods, Models, and Architectures for Network Security
Quantitative Observables and Averages in Probabilistic Constraint Programming
Selected papers from the Joint ERCIM/Compulog Net Workshop on New Trends in Contraints
Proceedings of the 14th International conference on Industrial and engineering applications of artificial intelligence and expert systems: engineering of intelligent systems
Dynamic Trait Expression for Multiploid Individuals of Evolutionary Algorithms
Proceedings of the 14th International conference on Industrial and engineering applications of artificial intelligence and expert systems: engineering of intelligent systems
Separation Surfaces through Genetic Programming
Proceedings of the 14th International conference on Industrial and engineering applications of artificial intelligence and expert systems: engineering of intelligent systems
Route Planning Wizard: Basic Concept and Its Implementation
IEA/AIE '02 Proceedings of the 15th international conference on Industrial and engineering applications of artificial intelligence and expert systems: developments in applied artificial intelligence
A Fitness Estimation Strategy for Genetic Algorithms
IEA/AIE '02 Proceedings of the 15th international conference on Industrial and engineering applications of artificial intelligence and expert systems: developments in applied artificial intelligence
Ant Colony Optimisation Applied to a Dynamically Changing Problem
IEA/AIE '02 Proceedings of the 15th international conference on Industrial and engineering applications of artificial intelligence and expert systems: developments in applied artificial intelligence
IEA/AIE '02 Proceedings of the 15th international conference on Industrial and engineering applications of artificial intelligence and expert systems: developments in applied artificial intelligence
Genetic Algorithm Optimisation of Part Placement Using a Connection-Based Coding Method
IEA/AIE '02 Proceedings of the 15th international conference on Industrial and engineering applications of artificial intelligence and expert systems: developments in applied artificial intelligence
An Efficient Method for Constructing Optimal Statistical Shape Models
MICCAI '01 Proceedings of the 4th International Conference on Medical Image Computing and Computer-Assisted Intervention
MICCAI '01 Proceedings of the 4th International Conference on Medical Image Computing and Computer-Assisted Intervention
Affine Registration with Feature Space Mutual Information
MICCAI '01 Proceedings of the 4th International Conference on Medical Image Computing and Computer-Assisted Intervention
A General Planning Method for Allocation of Human Resource Groups
Computer Aided Systems Theory - EUROCAST 2001-Revised Papers
Information Mining: Applications in Image Processing
SOFSEM '00 Proceedings of the 27th Conference on Current Trends in Theory and Practice of Informatics
Software pipelining: A Genetic Algorithm Approach
PACT '94 Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques
Spare Capacity Planning for Survivable Mesh Networks
NETWORKING '00 Proceedings of the IFIP-TC6 / European Commission International Conference on Broadband Communications, High Performance Networking, and Performance of Communication Networks
Genetic Algorithm for Weights Assignment in Dissimilarity Function for Trademark Retrieval
VISUAL '99 Proceedings of the Third International Conference on Visual Information and Information Systems
NETWORKING '02 Proceedings of the Second International IFIP-TC6 Networking Conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; and Mobile and Wireless Communications
A Server Placement Algorithm Conscious of Communication Delays and Relocation Costs
Revised Papers from the NETWORKING 2002 Workshops on Web Engineering and Peer-to-Peer Computing
Decomposition and Hierarchy: Efficient Structural Matching of Large Multi-scale Representations
SCALE-SPACE '99 Proceedings of the Second International Conference on Scale-Space Theories in Computer Vision
Optimization with Parallel Computing
VECPAR '00 Selected Papers and Invited Talks from the 4th International Conference on Vector and Parallel Processing
Fault Injection and a Timing Channel on an Analysis Technique
EUROCRYPT '02 Proceedings of the International Conference on the Theory and Applications of Cryptographic Techniques: Advances in Cryptology
Probabilistic Rewrite Strategies. Applications to ELAN
RTA '02 Proceedings of the 13th International Conference on Rewriting Techniques and Applications
Some Notes on Alternating Optimization
AFSS '02 Proceedings of the 2002 AFSS International Conference on Fuzzy Systems. Calcutta: Advances in Soft Computing
Regular Grammatical Inference: A Genetic Algorithm Approach
AFSS '02 Proceedings of the 2002 AFSS International Conference on Fuzzy Systems. Calcutta: Advances in Soft Computing
Evolutionary Subsethood Product Fuzzy Neural Network
AFSS '02 Proceedings of the 2002 AFSS International Conference on Fuzzy Systems. Calcutta: Advances in Soft Computing
Parallelized Crowding Scheme Using a New Interconnection Model
AFSS '02 Proceedings of the 2002 AFSS International Conference on Fuzzy Systems. Calcutta: Advances in Soft Computing
Recovering High-Level Structure of Software Systems Using a Minimum Description Length Principle
AICS '02 Proceedings of the 13th Irish International Conference on Artificial Intelligence and Cognitive Science
Path Planning for Cooperating Robots Using a GA-Fuzzy Approach
Revised Papers from the International Seminar on Advances in Plan-Based Control of Robotic Agents,
Genetic Algorithms in Machine Learning
Machine Learning and Its Applications, Advanced Lectures
Genetic Algorithms for Continuous Problems
AI '02 Proceedings of the 15th Conference of the Canadian Society for Computational Studies of Intelligence on Advances in Artificial Intelligence
Local Probing Applied to Scheduling
CP '02 Proceedings of the 8th International Conference on Principles and Practice of Constraint Programming
Evolution Strategy in Portfolio Optimization
Selected Papers from the 5th European Conference on Artificial Evolution
The Two Stage Continuous Parallel Flow Shop Problem with Limited Storage: Modeling and Algorithms
Selected Papers from the 5th European Conference on Artificial Evolution
The Effects of Partial Restarts in Evolutionary Search
Selected Papers from the 5th European Conference on Artificial Evolution
A Methodology for Clustering Entity Relationship Models - A Human Information Processing Approach
ER '99 Proceedings of the 18th International Conference on Conceptual Modeling
Learning Time Allocation Using Neural Networks
CG '00 Revised Papers from the Second International Conference on Computers and Games
Towards Digital Creatures in Real-Time 3D Games
VW '00 Proceedings of the Second International Conference on Virtual Worlds
The World of Framsticks: Simulation, Evolution, Interaction
VW '00 Proceedings of the Second International Conference on Virtual Worlds
Communication and Interaction with Learning Agents in Virtual Soccer
VW '00 Proceedings of the Second International Conference on Virtual Worlds
Dynamic Pricing of Information Products Based on Reinforcement Learning: A Yield-Management Approach
KI '02 Proceedings of the 25th Annual German Conference on AI: Advances in Artificial Intelligence
On the Expected Runtime and the Success Probability of Evolutionary Algorithms
WG '00 Proceedings of the 26th International Workshop on Graph-Theoretic Concepts in Computer Science
Visualization of a Parallel Genetic Algorithm in Real Time
AMT '01 Proceedings of the 6th International Computer Science Conference on Active Media Technology
Evolutionary Negotiation in Agent-Mediated Commerce
AMT '01 Proceedings of the 6th International Computer Science Conference on Active Media Technology
Mining of Topographic Feature from Heterogeneous Imagery and Its Application to Lunar Craters
Progress in Discovery Science, Final Report of the Japanese Discovery Science Project
The Combinatorial Partitioning Method
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
DNA 7 Revised Papers from the 7th International Workshop on DNA-Based Computers: DNA Computing
PUNCH: An Evolutionary Algorithm for Optimizing Bit Set Selection
DNA 7 Revised Papers from the 7th International Workshop on DNA-Based Computers: DNA Computing
Halftone Image Generation with Improved Multiobjective Genetic Algorithm
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Controlled Elitist Non-dominated Sorting Genetic Algorithms for Better Convergence
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
A Short Tutorial on Evolutionary Multiobjective Optimization
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
MOLeCS: Using Multiobjective Evolutionary Algorithms for Learning
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Specification of Genetic Search Directions in Cellular Multi-objective Genetic Algorithms
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Multicriteria Evolutionary Algorithm with Tabu Search for Task Assignment
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Application of Multi Objective Evolutionary Algorithms to Analogue Filter Tuning
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Using Genetic Algorithms-Based Approach for Better Decision Trees: A Computational Study
DS '02 Proceedings of the 5th International Conference on Discovery Science
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Parto-Optimal Solutions for Multi-objective Production Scheduling Problems
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Multi-objective Optimisation Based on Relation Favour
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
A Bi-Criterion Approach for the Airlines Crew Rostering Problem
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Incrementing Multi-objective Evolutionary Algorithms: Performance Studies and Comparisons
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Pareto-Front Exploration with Uncertain Objectives
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Fuzzy Evolutionary Hybrid Metaheuristic for Network Topology Design
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Multi-objective Flow-Shop: Preliminary Results
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
The Supported Solutions Used as a Genetic Information in a Population Heuristics
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Tabu-Based Exploratory Evolutionary Algorithm for Effective Multi-objective Optimization
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Multiobjective Optimization of Mixed Variable Design Problems
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
The Niched Pareto Genetic Algorithm 2 Applied to the Design of Groundwater Remediation Systems
EMO '01 Proceedings of the First International Conference on Evolutionary Multi-Criterion Optimization
Automatic Temporal Floorplanning with Guaranteed Solution Feasibility
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Possibilities and Limitations of Applying Evolvable Hardware to Real-World Applications
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Evolutionary Computation to Search for Strongly Correlated Variables in High-Dimensional Time-Series
IDA '99 Proceedings of the Third International Symposium on Advances in Intelligent Data Analysis
ALM: A Methodology for Designing Accurate Linguistic Models for Intelligent Data Analysis
IDA '99 Proceedings of the Third International Symposium on Advances in Intelligent Data Analysis
A Review of Call Admission Control Schemes in Wireless ATM Networks
ICN '01 Proceedings of the First International Conference on Networking-Part 1
Learning Word Segmentation Rules for Tag Prediction
ILP '99 Proceedings of the 9th International Workshop on Inductive Logic Programming
Searching the Subsumption Lattice by a Genetic Algorithm
ILP '00 Proceedings of the 10th International Conference on Inductive Logic Programming
Genetic Algorithms for Multi-classifier System Configuration: A Case Study in Character Recognition
MCS '01 Proceedings of the Second International Workshop on Multiple Classifier Systems
Parallel and Distributed Data Mining: An Introduction
Revised Papers from Large-Scale Parallel Data Mining, Workshop on Large-Scale Parallel KDD Systems, SIGKDD
Efficient Parallel Classification Using Dimensional Aggregates
Revised Papers from Large-Scale Parallel Data Mining, Workshop on Large-Scale Parallel KDD Systems, SIGKDD
A New Survival Architecture for Network Processors
AISA '02 Proceedings of the First International Workshop on Advanced Internet Services and Applications
A Genetic-Based Approach for Satisfiability Problems
AISC '02/Calculemus '02 Proceedings of the Joint International Conferences on Artificial Intelligence, Automated Reasoning, and Symbolic Computation
Evolving Vision-Based Flying Robots
BMCV '02 Proceedings of the Second International Workshop on Biologically Motivated Computer Vision
Polygonal Approximation Using Genetic Algorithms
CAIP '99 Proceedings of the 8th International Conference on Computer Analysis of Images and Patterns
Genetic Programming with Local Improvement for Visual Learning from Examples
CAIP '01 Proceedings of the 9th International Conference on Computer Analysis of Images and Patterns
BMVC '00 Proceedings of the First IEEE International Workshop on Biologically Motivated Computer Vision
The Application of Genetic Algorithms in Structural Seismic Image Interpretation
Proceedings of the 24th DAGM Symposium on Pattern Recognition
DEXA '99 Proceedings of the 10th International Conference on Database and Expert Systems Applications
Improving the Robustness in Extracting 3D Point Landmarks Based on Deformable Models
Proceedings of the 23rd DAGM-Symposium on Pattern Recognition
A Contribution to the Study of the Fitness Landscape for a Graph Drawing Problem
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Satellite Range Scheduling: A Comparison of Genetic, Heuristic and Local Search
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
Data Mining for Fuzzy Decision Tree Structure with a Genetic Program
IDEAL '02 Proceedings of the Third International Conference on Intelligent Data Engineering and Automated Learning
An Evolutionary Algorithm for Solving the School Time-Tabling Problem
Proceedings of the EvoWorkshops on Applications of Evolutionary Computing
Synthesis of Representative Graphical Symbols by Computing Generalized Median Graph
GREC '99 Selected Papers from the Third International Workshop on Graphics Recognition, Recent Advances
Minimizing Interference in Mobile Communications Using Genetic Algorithms
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
Physiologically Oriented Models of the Hemodynamic Response in Functional MRI
IPMI '99 Proceedings of the 16th International Conference on Information Processing in Medical Imaging
Constructive Geometric Constraint Solving: A New Application of Genetic Algorithms
PPSN VII Proceedings of the 7th International Conference on Parallel Problem Solving from Nature
GA Techniques Applied to Contour Search in Images of Bovine Livestock
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Connectionist Models of Neurons, Learning Processes and Artificial Intelligence-Part I
XCS and GALE: A Comparative Study of Two Learning Classifier Systems on Data Mining
IWLCS '01 Revised Papers from the 4th International Workshop on Advances in Learning Classifier Systems
Adapting the Messy Genetic Algorithm for Path Planning in Redundant and Non-redundant Manipulators
MICAI '02 Proceedings of the Second Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Integration of Simulation Tools in On-Line Virtual Worlds
VW '00 Proceedings of the Second International Conference on Virtual Worlds
Evolutionary Computing and Negotiating Agents
AMET '98 Selected Papers from the First International Workshop on Agent Mediated Electronic Trading on Agent Mediated Electronic Commerce
Electricity Market Price Forecasting: Neural Networks versus Weighted-Distance k Nearest Neighbours
DEXA '02 Proceedings of the 13th International Conference on Database and Expert Systems Applications
Formal engineering design synthesis
Evolutionary and adaptive synthesis methods
Formal engineering design synthesis
Information Sciences—Informatics and Computer Science: An International Journal - Special issue on recent advances in soft computing
Genetic algorithm-based relevance feedback for image retrieval using local similarity patterns
Information Processing and Management: an International Journal
Learning retrieval expert combinations with genetic algorithms
International Journal of Uncertainty, Fuzziness and Knowledge-Based Systems
Non-euclidean genetic FCM clustering algorithm
Technologies for constructing intelligent systems
Heuristic and randomized optimization for the join ordering problem
The VLDB Journal — The International Journal on Very Large Data Bases
Multi-objective optimization problems with fuzzy relation equation constraints
Fuzzy Sets and Systems - Special issue: Optimization and decision support systems
Fuzzy Sets and Systems - Special issue: Optimization and decision support systems
Design and Analysis of an Efficient Evolutionary Image Segmentation Algorithm
Journal of VLSI Signal Processing Systems
A GA-based fuzzy modeling approach for generating TSK models
Fuzzy Sets and Systems - Modeling and control
A linguistic decision model for promotion mix management solved with genetic algorithms
Fuzzy Sets and Systems - Special issue: Soft decision analysis
Caching across heterogeneous information sources: an object-based approach
Information processing and technology
Order-based fitness functions for genetic algorithms applied to relevance feedback
Journal of the American Society for Information Science and Technology
Feature subset selection using a new definition of classifiability
Pattern Recognition Letters
Computers and Industrial Engineering
Self-organised evolutionary neural networks: algorithms and applications
Highly parallel computaions
Evolutionary computation in civil engineering: research frontiers
Civil and structural engineering computing: 2001
Characterization of aggregate fuzzy membership functions using Saaty's eigenvalue approach
Computers and Operations Research
An improved genetic algorithm for facility layout problems having inner structure walls and passages
Computers and Operations Research
Locally-adaptive and memetic evolutionary pattern search algorithms
Evolutionary Computation
On using genetic algorithms for multimodal relevance optimization in information retrieval
Journal of the American Society for Information Science and Technology
P-tree classification of yeast gene deletion data
ACM SIGKDD Explorations Newsletter
Active vision and feature selection in evolutionary behavioral systems
ICSAB Proceedings of the seventh international conference on simulation of adaptive behavior on From animals to animats
Evolving integrated controllers for autonomous learning robots using dynamic neural networks
ICSAB Proceedings of the seventh international conference on simulation of adaptive behavior on From animals to animats
Using a net to catch a mate: evolving CTRNNs for the dowry problem
ICSAB Proceedings of the seventh international conference on simulation of adaptive behavior on From animals to animats
Evolution of a circuit of spiking neurons for phototaxis in a Braitenberg vehicle
ICSAB Proceedings of the seventh international conference on simulation of adaptive behavior on From animals to animats
Designing social force: control for collective behavior of learning individuals
ICSAB Proceedings of the seventh international conference on simulation of adaptive behavior on From animals to animats
A computational algorithm for handling the special uniques problem
International Journal of Uncertainty, Fuzziness and Knowledge-Based Systems
Hybrid genetic algorithm with exact techniques applied to TSP
Second international workshop on Intelligent systems design and application
A genetic algorithm for multiobjective optimization problems with fuzzy constraints
Second international workshop on Intelligent systems design and application
MOGADES: multi-objective genetic algorithm with distributed environment scheme
Second international workshop on Intelligent systems design and application
Second international workshop on Intelligent systems design and application
Genetic algorithm based product mix and material match
Second international workshop on Intelligent systems design and application
Genetic algorithms vs. greedy algorithms in the optimization of course scheduling
Journal of Computing Sciences in Colleges
Transforming data to satisfy privacy constraints
Proceedings of the eighth ACM SIGKDD international conference on Knowledge discovery and data mining
B-EM: a classifier incorporating bootstrap with EM approach for data mining
Proceedings of the eighth ACM SIGKDD international conference on Knowledge discovery and data mining
Computers and Operations Research
A two-carousel storage location problem
Computers and Operations Research
Proceedings of the 40th annual Design Automation Conference
Pattern Recognition Letters - Special issue: Colour image processing and analysis
Stellar structure modeling using a parallel genetic algorithm for objective global optimization
Journal of Computational Physics
Multi-objective optimization of interconnect geometry
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on system-level interconnect prediction (SLIP)
Handbook of data mining and knowledge discovery
Handbook of data mining and knowledge discovery
Industry: predicting telecommunication equipment failures from sequences of network alarms
Handbook of data mining and knowledge discovery
Optimization models of sound systems using genetic algorithms
Computational Linguistics
Applied Mathematics and Computation
Approximations with evolutionary pursuit
Signal Processing
Learning by experience - autonomous virtual character behavioural animation
Intelligent agents for mobile and virtual media
Variable period adaptive genetic algorithm
Computers and Industrial Engineering - 26th International conference on computers and industrial engineering
Soft computing in textile sciences
Evolutionary algorithms in modeling and animation
Handbook of computer animation
Benchmarking data mining algorithms
Data warehousing and web engineering
Improving learning performance by applying economic knowledge
Proceedings of the 4th ACM conference on Electronic commerce
Solving fuzzy optimization problems by evolutionary algorithms
Information Sciences: an International Journal
A genetic-designed beta basis function neural network for multi-variable functions approximation
Systems Analysis Modelling Simulation - Special issue: Advances in control and computer engineering
Emergence of modularity in genotype-phenotype mappings
Artificial Life
Journal of Parallel and Distributed Computing - Special issue on wireless and mobile ad hoc networking and computing
Quality classification of wooden surfaces using Gabor filters and genetic feature optimisation
Machine vision for the inspection of natural products
Heterogeneous Multiprocessor Scheduling and Allocation using Evolutionary Algorithms
ASAP '97 Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
Systematic objective-driven computer architecture optimization
ARVLSI '95 Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI'95)
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Alternating Strategies for Sequential Circuit ATPG
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Advanced Techniques for GA-based sequential ATPGs
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Sequential Circuit Test Generation Using Dynamic State Traversal
EDTC '97 Proceedings of the 1997 European conference on Design and Test
New Static Compaction Techniques of Test Sequences for Sequential Circuits
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Disney meets Darwin-the evolution of funny animated figures
CA '95 Proceedings of the Computer Animation
Decision Tree's Induction Strategies Evaluated on a Hard Real World Problem
CBMS '00 Proceedings of the 13th IEEE Symposium on Computer-Based Medical Systems (CBMS'00)
Towards an analytic framework for analysing the computation time of evolutionary algorithms
Artificial Intelligence
CODES '97 Proceedings of the 5th International Workshop on Hardware/Software Co-Design
An evolutionary approach to system-level synthesis
CODES '97 Proceedings of the 5th International Workshop on Hardware/Software Co-Design
Agent-based merchandise management in business-to-business electronic commerce
Decision Support Systems
Optimal task assignment in heterogeneous computing systems
HCW '97 Proceedings of the 6th Heterogeneous Computing Workshop (HCW '97)
HCW '97 Proceedings of the 6th Heterogeneous Computing Workshop (HCW '97)
Design of a Processing Element of a SIMD Computer for Genetic Algorithms
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Task scheduling in distributed computing systems with a genetic algorithm
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Robust and distributed genetic algorithm for ordering problems
HPDC '96 Proceedings of the 5th IEEE International Symposium on High Performance Distributed Computing
An Evolvable Hardware Chip for Prosthetic Hand Controller
MICRONEURO '99 Proceedings of the 7th International Conference on Microelectronics for Neural, Fuzzy and Bio-Inspired Systems
Global Optimisation by Evolutionary Algorithms
PAS '97 Proceedings of the 2nd AIZU International Symposium on Parallel Algorithms / Architecture Synthesis
Parallel Genetic Algorithms for Communication Network Design
PAS '97 Proceedings of the 2nd AIZU International Symposium on Parallel Algorithms / Architecture Synthesis
Multi-Issue Negotiation Processes by Evolutionary Simulation, Validationand Social Extensions
Computational Economics
Evolutionary approaches to neural control of rolling, walking, swimming and flying animats or robots
Biologically inspired robot behavior engineering
Visuomotor control in flies and behavior - based agents
Biologically inspired robot behavior engineering
Biologically inspired robot behavior engineering
Self-adapting neural networks for mobile robots
Biologically inspired robot behavior engineering
A genetic approach to test application time reduction for full scan and partial scan circuits
VLSID '95 Proceedings of the 8th International Conference on VLSI Design
Genetic Algorithms for Scan Path Design
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Instruction-Set Matching and GA-based Selection for Embedded-Processor Code Generation
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Synthesis of Testable Pipelined Datapaths Using Genetic Search
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Parallel Genetic Algorithms for Simulation-Based Sequential Circuit Test Generation
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Hardware Software Partitioning Using Genetic Algorithm
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Channel and Switchbox Routing with Minimized Crosstalk - A Parallel Genetic Algorithm Approach
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
An Evolutionary Scheme for Cosynthesis of Real-Time Systems
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Low Power Technology Mapping for LUT based FPGA "A Genetic Algorithm Approach"
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Cellular automata for deterministic sequential test pattern generation
VTS '97 Proceedings of the 15th IEEE VLSI Test Symposium
On Optimizing BIST-Architecture by Using OBDD-based Approaches and Genetic Algorithms
VTS '97 Proceedings of the 15th IEEE VLSI Test Symposium
VTS '98 Proceedings of the 16th IEEE VLSI Test Symposium
RT-level TPG Exploiting High-Level Synthesis Information
VTS '99 Proceedings of the 1999 17TH IEEE VLSI Test Symposium
Optimal design of backbone topology for a communication network under cost constraint
ICCC '02 Proceedings of the 15th international conference on Computer communication
Evolutionary fuzzy expert system for traffic control in ATM networks
ICCC '02 Proceedings of the 15th international conference on Computer communication
ICIP '95 Proceedings of the 1995 International Conference on Image Processing (Vol.2)-Volume 2 - Volume 2
Genetic algorithms for object recognition in a complex scene
ICIP '95 Proceedings of the 1995 International Conference on Image Processing (Vol.2)-Volume 2 - Volume 2
Non-Intrusive BIST for Systems-on-a-Chip
ITC '00 Proceedings of the 2000 IEEE International Test Conference
Diagnostic Test Generation for Sequential Circuits
ITC '00 Proceedings of the 2000 IEEE International Test Conference
Delay Testing Considering Crosstalk-Induced Effects
ITC '01 Proceedings of the 2001 IEEE International Test Conference
Low Hardware Overhead Scan Based 3-Weight Weighted Random BIST
ITC '01 Proceedings of the 2001 IEEE International Test Conference
ACM SIGCOMM Computer Communication Review - Workshop on data communication in Latin America and the Caribbean
Testability analysis and ATPG on behavioral RT-level VHDL
ITC '97 Proceedings of the 1997 IEEE International Test Conference
Putting the Squeeze on Test Sequences
ITC '97 Proceedings of the 1997 IEEE International Test Conference
A cooperative parallel rollout algorithm for the sequential ordering problem
Parallel Computing - Special issue: Parallel computing in logistics
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
An Application of Genetic Algorithms and BDDs to Functional Testing
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
Non-Strict Cache Coherence: Exploiting Data-Race Tolerance in Emerging Applications
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
On the Use of Genetic Algorithms in Database Client Clustering
ICTAI '99 Proceedings of the 11th IEEE International Conference on Tools with Artificial Intelligence
A New Study on Using HTML Structures to Improve Retrieval
ICTAI '99 Proceedings of the 11th IEEE International Conference on Tools with Artificial Intelligence
ICTAI '99 Proceedings of the 11th IEEE International Conference on Tools with Artificial Intelligence
A genetic algorithm for decomposition type choice in OKFDDs
INBS '95 Proceedings of the First International Symposium on Intelligence in Neural and Biological Systems (INBS'95)
Population dynamics in a radically epistatic genetic system
INBS '95 Proceedings of the First International Symposium on Intelligence in Neural and Biological Systems (INBS'95)
Peak Power Reduction in Low Power BIST
ISQED '00 Proceedings of the 1st International Symposium on Quality of Electronic Design
Dynamic Timing Analysis Considering Power Supply Noise Effects
ISQED '00 Proceedings of the 1st International Symposium on Quality of Electronic Design
Using the genetic algorithm to build optimal neural networks for fault-prone module detection
ISSRE '96 Proceedings of the The Seventh International Symposium on Software Reliability Engineering
Evolutionary Neural Networks: A Robust Approach to Software Reliability Problems
ISSRE '97 Proceedings of the Eighth International Symposium on Software Reliability Engineering
Building Trust into OO Components Using a Genetic Analogy
ISSRE '00 Proceedings of the 11th International Symposium on Software Reliability Engineering
Intelligent product mix and material match in electronics manufacturing
Neural, Parallel & Scientific Computations - Special issue: Advances in intelligent systems and applications
An Adaptive Recommendation System without Explicit Acquisition of User Relevance Feedback
Distributed and Parallel Databases
A hybrid global optimization method: the multi-dimensional case
Journal of Computational and Applied Mathematics
Hybrid fuzzy proportional-integral plus conventional derivative control of robotics systems
Autonomous robotic systems
Chemical genetic algorithms: coevolution between codes and code translation
ICAL 2003 Proceedings of the eighth international conference on Artificial life
Adaptive crossover in genetic algorithms using statistics mechanism
ICAL 2003 Proceedings of the eighth international conference on Artificial life
ICAL 2003 Proceedings of the eighth international conference on Artificial life
SBEAT3: a tool for multi-part music composition by simulated breeding
ICAL 2003 Proceedings of the eighth international conference on Artificial life
Formulation of the Audze--Eglais uniform Latin hypercube design of experiments
Advances in Engineering Software
The production and recognition of emotions in speech: features and algorithms
International Journal of Human-Computer Studies - Application of affective computing in humanComputer interaction
Discrete optimization of two-dimensional laminated composite plate and shell structures
ICCST '02 Proceedings of the sixth conference on Computational structures technology
Multi-objective Topology optimization of structures
ICCST '02 Proceedings of the sixth conference on Computational structures technology
Automatic extraction and description of human gait models for recognition purposes
Computer Vision and Image Understanding
Genetic algorithms in a competitive environment with application to reliability optimal design
ICAAICSE '01 Proceedings of the sixth international conference on Application of artificial intelligence to civil & structural engineering
Multiobjective evolutionary algorithms in pump scheduling optimisation
ICECT'03 Proceedings of the third international conference on Engineering computational technology
ICECT'03 Proceedings of the third international conference on Engineering computational technology
ICECT'03 Proceedings of the third international conference on Engineering computational technology
Defining the internet-based supply chain system for mass customized markets
Computers and Industrial Engineering
Design of hybrids for the minimum sum-of-squares clustering problem
Computational Statistics & Data Analysis
Alternative methods for codebook design in vector quantization
DCC '95 Proceedings of the Conference on Data Compression
Implementing a genetic algorithm on a parallel custom computing machine
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
Synthesis of a Systolic Array Genetic Algorithm
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
A cellular automata representation for assembly simulation and sequence generation
ISATP '95 Proceedings of the 1995 IEEE International Symposium on Assembly and Task Planning
Some New Results for Multiple-Valued Genetic Algorithms
ISMVL '95 Proceedings of the 25th International Symposium on Multiple-Valued Logic
Efficiency speed-up strategies for evolutionary computation: fundamentals and fast-GAs
Applied Mathematics and Computation
Making of a Virtual World of Heijokyo from Historical Knowledge
VSMM '01 Proceedings of the Seventh International Conference on Virtual Systems and Multimedia (VSMM'01)
Automated Discovery of Numerical Approximation Formulae via Genetic Programming
Genetic Programming and Evolvable Machines
Discovering efficient learning rules for feedforward neural networks using genetic programming
Recent advances in intelligent paradigms and applications
Constrained two dimensional bin packing using a genetic algorithm
Recent advances in intelligent paradigms and applications
Sequential and distributed evolutionary algorithms for combinatorial optimization problems
Recent advances in intelligent paradigms and applications
Design of a Six-Sector Switched Parasitic Planar Array Using the Method of Genetic Algorithms
Wireless Personal Communications: An International Journal
Collapse load of optimally designed unbraced flexibly connected steel frames
ICAAISE '01 Proceedings of the eighth international conference on The application of artificial intelligence to civil and structural engineering computing
Optimum design of pitched roof steel frames with haunched rafters by genetic algorithm
ICAAISE '01 Proceedings of the eighth international conference on The application of artificial intelligence to civil and structural engineering computing
Topological optimization of an aircraft engine mount via bit-masking oriented genetic algorithms
ICAAISE '01 Proceedings of the eighth international conference on The application of artificial intelligence to civil and structural engineering computing
Visualizing evolutionary computation
Advances in evolutionary computing
New schemes of biologically inspired evolutionary computation
Advances in evolutionary computing
On the design of problem-specific evolutionary algorithms
Advances in evolutionary computing
A real-coded genetic algorithm using the unimodal normal distribution crossover
Advances in evolutionary computing
Multi-objective evolutionary algorithms: introducing bias among Pareto-optimal solutions
Advances in evolutionary computing
Advances in evolutionary computing
A unified Bayesian framework for evolutionary learning and optimization
Advances in evolutionary computing
Evolutionary computation for evolutionary theory
Advances in evolutionary computing
Computational embryology: past, present and future
Advances in evolutionary computing
An evolutionary approach to synthetic biology: zen in the art of creating life
Advances in evolutionary computing
Advances in evolutionary computing
Evolutionary algorithms for the physical design of VLSI circuits
Advances in evolutionary computing
From theory to practice: an evolutionary algorithm for the antenna placement problem
Advances in evolutionary computing
Advances in evolutionary computing
Scheduling of bus driver's service by a genetic algorithm
Advances in evolutionary computing
A survey of evolutionary algorithms for data mining and knowledge discovery
Advances in evolutionary computing
Data mining from clinical data using interactive evolutionary computation
Advances in evolutionary computing
Application of evolutionary computation to protein folding
Advances in evolutionary computing
Recent trends in learning classifier systems research
Advances in evolutionary computing
The computational power of cell division in P systems: Beating down parallel computers?
Natural Computing: an international journal
Component scheduling for chip shooter machines: a hybrid genetic algorithm approach
Computers and Operations Research
Metaheuristics in combinatorial optimization: Overview and conceptual comparison
ACM Computing Surveys (CSUR)
Genetic algorithms in relevance feedback: a second test and new contributions
Information Processing and Management: an International Journal
CSB '03 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Genetic Algorithm Approach for the Closest String Problem
CSB '03 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Intelligent data analysis
Delay Testing Considering Power Supply Noise Effects
ITC '99 Proceedings of the 1999 IEEE International Test Conference
Intelligent Zoning Design Using Multi-Objective Evolutionary Algorithms
ICDAR '03 Proceedings of the Seventh International Conference on Document Analysis and Recognition - Volume 2
Construction of fuzzy systems using least-squares method and genetic algorithm
Fuzzy Sets and Systems - Theme: Modeling and control
An evolutionary approach to fuzzy rule-based model synthesis using indices for rules
Fuzzy Sets and Systems - Theme: Modeling and control
Sourcebook of parallel computing
Prediction of subsidence due to underground mining by artificial neural networks
Computers & Geosciences
Genetic diversity as an objective in multi-objective evolutionary algorithms
Evolutionary Computation
General schema theory for genetic programming with subtree-swapping crossover: Part II
Evolutionary Computation
Systems Analysis Modelling Simulation
Java-Based Internet Biometric Authentication System
IEEE Transactions on Pattern Analysis and Machine Intelligence
Agent Paradigm in Clinical Large-Scale Data Mining Environment
ICCI '03 Proceedings of the 2nd IEEE International Conference on Cognitive Informatics
Sparse data in the evolutionary generation of fuzzy models
Fuzzy Sets and Systems - Theme: Learning and modeling
Evolving two-dimensional fuzzy systems
Fuzzy Sets and Systems - Theme: Learning and modeling
Hybrid identification in fuzzy-neural networks
Fuzzy Sets and Systems - Theme: Learning and modeling
Relational concept learning by cooperative evolution
Journal of Experimental Algorithmics (JEA)
Learning evaluation functions to improve optimization by local search
The Journal of Machine Learning Research
Optimal testing-resource allocation with genetic algorithm for modular software systems
Journal of Systems and Software
Approximation of digital curves with line segments and circular arcs using genetic algorithms
Pattern Recognition Letters
Cost-Efficient Design of Future Broadband Fixed Wireless Access Systems
Wireless Personal Communications: An International Journal
Numerical Comparison of Some Penalty-Based Constraint Handling Techniques in Genetic Algorithms
Journal of Global Optimization
Cooperative Metaheuristics for Exploring Proteomic Data
Artificial Intelligence Review
QSAR Modeling of Genotoxicity onNon-congeneric Sets of Organic Compounds
Artificial Intelligence Review
Bounding the effect of noise in multiobjective learning classifier systems
Evolutionary Computation
Modeling and simulation of autonomous robot search teams
Systems Analysis Modelling Simulation
Automated negotiation for order transaction of injection mold manufacturer
ICEC '03 Proceedings of the 5th international conference on Electronic commerce
Genetic algorithms and fuzzy control: a practical synergism for industrial applications
Computers in Industry
Human factor policy testing in the sequencing of manual mixed model assembly lines
Computers and Operations Research
A hybrid graph-genetic method for domain decomposition
Finite Elements in Analysis and Design
A decision support system for the electrical power districting problem
Decision Support Systems
Module synthesis for finite state machines
EURO-DAC '91 Proceedings of the conference on European design automation
Data Mining for Very Busy People
Computer
Evolutionary Gabor Filter Optimization with Application to Vehicle Detection
ICDM '03 Proceedings of the Third IEEE International Conference on Data Mining
Applying genetic algorithms in database partitioning
Proceedings of the 2003 ACM symposium on Applied computing
Proceedings of the 2003 ACM symposium on Applied computing
Multi-heuristic list scheduling genetic algorithm for task scheduling
Proceedings of the 2003 ACM symposium on Applied computing
Broadcast program generation for unordered queries with data replication
Proceedings of the 2003 ACM symposium on Applied computing
MultiGen: an integrated multiple-objective solution system
Decision Support Systems
Development of fuzzy regression models using genetic algorithms
International Journal of Uncertainty, Fuzziness and Knowledge-Based Systems
Accuracy, comprehensibility and completeness evaluation of a fuzzy expert system
International Journal of Uncertainty, Fuzziness and Knowledge-Based Systems
Evolution of behaviors in autonomous robot using artificial neural network and genetic algorithm
Information Sciences: an International Journal
A solver for the network testbed mapping problem
ACM SIGCOMM Computer Communication Review
Using assembly representations to enable evolutionary design of Lego structures
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Evolutionary Approach to Quantum andReversible Circuits Synthesis
Artificial Intelligence Review
Advanced AI Search Techniques in Modern Digital Circuit Synthesis
Artificial Intelligence Review
Optimal design of an aircraft engine mount via bit-masking oriented genetic algorithms
Advances in Engineering Software - Civil-comp 2001
Competitive genetic algorithms with application to reliability optimal design
Advances in Engineering Software - Civil-comp 2001
Artificial intelligence methods used in the investigation of polymers properties
ICCMSE '03 Proceedings of the international conference on Computational methods in sciences and engineering
Information Sciences: an International Journal - Special issue: Evolutionary computation
Supervised learning by means of accuracy-aware evolutionary algorithms
Information Sciences: an International Journal - Special issue: Evolutionary computation
On the harmonious mating strategy through tabu search
Information Sciences: an International Journal - Special issue: Evolutionary computation
An analysis of Gray versus binary encoding in genetic search
Information Sciences: an International Journal - Special issue: Evolutionary computation
Fractional order dynamics in a GA planner
Signal Processing - Special issue: Fractional signal processing and applications
Basic principles for understanding evolutionary algorithms
Fundamenta Informaticae
Scalability and efficiency in multi-relational data mining
ACM SIGKDD Explorations Newsletter
Wavelet-based signal approximation with genetic algorithms
Systems Analysis Modelling Simulation - Special issue: Digital signal processing and control
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computational Optimization and Applications
Evolvable Hardware in Evolutionary Robotics
Autonomous Robots
State of the art on automatic road extraction for GIS update: a novel classification
Pattern Recognition Letters
Image segmentation by three-level thresholding based on maximum fuzzy entropy and genetic algorithm
Pattern Recognition Letters
A genetic algorithm approach to cartographic map generalisation
Computers in Industry - Special issue: Soft computing in industrial applications
An optimization algorithm using orthogonal arrays in discrete design space for structures
Finite Elements in Analysis and Design
An experimental study of some control parameters in parallel genetic programming
Neural, Parallel & Scientific Computations
Interpretation by Implementation for Understanding a Multiagent Organization
Computational & Mathematical Organization Theory
A derived Markov process for modeling reaction networks
Evolutionary Computation
An adaptive sharing elitist evolution strategy for multiobjective optimization
Evolutionary Computation
Incorporating linkage learning into the GeLog framework
Acta Cybernetica
A graphical user interface for evolutionary algorithms
Acta Cybernetica
Solving the 0/1 knapsack problem using an adaptive genetic algorithm
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Design of combinational logic circuits through an evolutionary multiobjective optimization approach
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Optimal Design of Reliable Computer Networks: A Comparison of Metaheuristics
Journal of Heuristics
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Scheduling Space–Ground Communications for the Air Force Satellite Control Network
Journal of Scheduling
Cascade Architectures of Fuzzy Neural Networks
Fuzzy Optimization and Decision Making
Optimal turning gait of a six-legged robot using a GA-fuzzy approach
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Case-based evolutionary design
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Automatic tuning of PID and gain scheduling PID controllers by a derandomized evolution strategy
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
A voxel-based representation for evolutionary shape optimization
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Learning to be selective in genetic-algorithm-based design optimization
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Adaptive-growth-type 3D representation for configuration design
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Hybrid system approach to optimum design of a ship
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Automatic fitting of cochlear implants with evolutionary algorithms
Proceedings of the 2004 ACM symposium on Applied computing
Schemata Theory for the real coding and arithmetical operators
Proceedings of the 2004 ACM symposium on Applied computing
A call admission control scheme using genetic algorithms
Proceedings of the 2004 ACM symposium on Applied computing
Wireless Personal Communications: An International Journal
An Evolutionary Design Algorithm for Ring-based SDH optical core networks
BT Technology Journal
Numerical algorithms for use in quantum information
Journal of Computational Physics
Genetic Programming and Evolvable Machines
Book Review: The Design of Innovation: Lessons from and for Competent Genetic Algorithms
Genetic Programming and Evolvable Machines
Adaptive Color Image Filtering Based on Center-Weighted Vector Directional Filters
Multidimensional Systems and Signal Processing
Improved genetic algorithm for the permutation flowshop scheduling problem
Computers and Operations Research
Iterative schedule optimization for voltage scalable distributed embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
An evolutionary optimization approach for 3D human head model classification
MIR '03 Proceedings of the 5th ACM SIGMM international workshop on Multimedia information retrieval
Fuzzy discriminant analysis with outlier detection by genetic algorithm
Computers and Operations Research
An indirect genetic algorithm for a nurse-scheduling problem
Computers and Operations Research
An ant colony system for permutation flow-shop sequencing
Computers and Operations Research
Comparison of Certain Evolutionary Algorithms
Automation and Remote Control
Lock-Gain Based Graph Partitioning
Journal of Heuristics
A Hybrid Heuristic for the p-Median Problem
Journal of Heuristics
A novel genetic algorithm for automatic clustering
Pattern Recognition Letters
Grid resource management
The Knowledge Engineering Review
APBC '04 Proceedings of the second conference on Asia-Pacific bioinformatics - Volume 29
Biologically inspired rule-based multiset programming paradigm for soft-computing
Proceedings of the 1st conference on Computing frontiers
A Mobile Negotiation Agent Embedded Hybrid Online Purchasing System
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Approximate String Matching Using Deformed Fuzzy Automata: A Learning Experience
Fuzzy Optimization and Decision Making
Symbolization assisted SVM classifier for noisy data
Pattern Recognition Letters
Generating trading rules on the stock markets with genetic programming
Computers and Operations Research
Discovering phonotactic finite-state automata by genetic search
ACL '98 Proceedings of the 36th Annual Meeting of the Association for Computational Linguistics and 17th International Conference on Computational Linguistics - Volume 2
Preference-based optimum design of an integrated structural control system using genetic algorithms
Advances in Engineering Software
An elitist genetic algorithm for multiobjective optimization
Metaheuristics
A distance-based selection of parents in genetic algorithms
Metaheuristics
Data Generation for Path Testing
Software Quality Control
Automatic digital modulation recognition using artificial neural network and genetic algorithm
Signal Processing - Special issue on independent components analysis and beyond
Automatic curve fairing system using visual languages
Geometric modeling
A genetic algorithm approach for regrouping service sites
Computers and Operations Research
Sotware modularization using requirements attributes
ACM-SE 42 Proceedings of the 42nd annual Southeast regional conference
Using a parallel genetic algorithm to design vibratory bowl feeders
ACM-SE 42 Proceedings of the 42nd annual Southeast regional conference
Automated selection of auto crash causes
ACM-SE 42 Proceedings of the 42nd annual Southeast regional conference
Dynamic rescheduling that simultaneously considers efficiency and stability
Computers and Industrial Engineering
An improved genetic heuristic to support the design of flexible manufacturing systems
Computers and Industrial Engineering
Journal of Intelligent and Robotic Systems
A smart hill-climbing algorithm for application server configuration
Proceedings of the 13th international conference on World Wide Web
Genetic algorithms for sequencing problems in mixed model assembly lines
Computers and Industrial Engineering
Local Search Genetic Algorithms for the Job Shop Scheduling Problem
Applied Intelligence
International Journal of Uncertainty, Fuzziness and Knowledge-Based Systems - New trends in probabilistic graphical models
Non-directionality and self-assessment in an example-based system using genetic algorithms
COLING '94 Proceedings of the 15th conference on Computational linguistics - Volume 1
Computers and Operations Research
Machine translation method using inductive learning with genetic algorithms
COLING '96 Proceedings of the 16th conference on Computational linguistics - Volume 2
A GA-based neural network weight optimization technique for semi-supervised classifier learning
Design and application of hybrid intelligent systems
PDGA: the primal-dual genetic algorithm
Design and application of hybrid intelligent systems
Multiple DNA sequences alignment by means of genetic algorithm
Design and application of hybrid intelligent systems
A hybrid genetic hill-climbing algorithm for four-coloring map problems
Design and application of hybrid intelligent systems
Use of learning classifier system for inferring natural language grammar
Design and application of hybrid intelligent systems
Design and application of hybrid intelligent systems
A novel VQ-based watermarking scheme with genetic codebook partition
Design and application of hybrid intelligent systems
Nodal ordering using graph theory and a genetic algorithm
Finite Elements in Analysis and Design
A Genetic Algorithm Based Method to Improve Image Segmentation
ICPR '98 Proceedings of the 14th International Conference on Pattern Recognition-Volume 2 - Volume 2
Automatic Facial Feature Detection and Location
ICPR '98 Proceedings of the 14th International Conference on Pattern Recognition-Volume 2 - Volume 2
Learning improvement of neural networks used in structural optimization
Advances in Engineering Software
Advances in Engineering Software
Genetically induced communication network fault tolerance
Complexity - Special issue: Resilient and adaptive defense of computing networks
A grid-oriented genetic algorithm framework for bioinformatics
New Generation Computing - Grid systems for life sciences
Virtual design and testing of protective packaging buffers
Computers in Industry
Theory and application of cellular automata for pattern classification
Fundamenta Informaticae - Special issue on cellular automata
Multi-level redundancy optimization in series systems
Computers and Industrial Engineering - Special issue: Selected papers from the 27th international conference on computers & industrial engineering
Embedded Parallelization Approach for Optimization in Aerodynamic Design
The Journal of Supercomputing
Mining with rarity: a unifying framework
ACM SIGKDD Explorations Newsletter - Special issue on learning from imbalanced datasets
A multistrategy approach for digital text categorization from imbalanced documents
ACM SIGKDD Explorations Newsletter - Special issue on learning from imbalanced datasets
Population set-based global optimization algorithms: some modifications and numerical studies
Computers and Operations Research
An evolutionary constraint satisfaction solution for over the cell channel routing
Integration, the VLSI Journal
Distributed, Physics-Based Control of Swarms of Vehicles
Autonomous Robots
An intelligent system for customer targeting: a data mining approach
Decision Support Systems
On the Morality of Artificial Agents
Minds and Machines
Proceedings of the 2004 international symposium on Low power electronics and design
CLIP4: hybrid inductive machine learning algorithm that generates inequality rules
Information Sciences: an International Journal - Special issue: Soft computing data mining
Information Sciences: an International Journal - Special issue: Soft computing data mining
On detecting space-time clusters
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
Advances in Engineering Software
Optimal and approximate approaches for selecting proxy agents in mobile IP based network backbones
Journal of Parallel and Distributed Computing
An accurate parallel genetic algorithm to schedule tasks on a cluster
Parallel Computing - Special issue: Parallel and nature-inspired computational paradigms and applications
Particle swarm based Data Mining Algorithms for classification tasks
Parallel Computing - Special issue: Parallel and nature-inspired computational paradigms and applications
Proximity and priority: applying a gene expression algorithm to the Traveling Salesperson Problem
Parallel Computing - Special issue: Parallel and nature-inspired computational paradigms and applications
Real royal road functions for constant population size
Theoretical Computer Science
When a genetic algorithm outperforms hill-climbing
Theoretical Computer Science
Diagnosis of the diseases: using a GA-fuzzy approach
Information Sciences: an International Journal - Special issue: Medical expert systems
Evolutionary optimization of energy systems using population graphing and neural networks
Advances in Engineering Software
Dependent Data Broadcasting for Unordered Queries in a Multiple Channel Mobile Environment
IEEE Transactions on Knowledge and Data Engineering
Multiagent Self-Assembly Simulation Environment
AAMAS '04 Proceedings of the Third International Joint Conference on Autonomous Agents and Multiagent Systems - Volume 3
CSB '04 Proceedings of the 2004 IEEE Computational Systems Bioinformatics Conference
Procedural texture evolution using multi-objective optimization
New Generation Computing
Stochastic programming models for vehicle routing problems
Focus on computational neurobiology
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Synthesis of CMOS Analog Cells Using AMIGO
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - Volume 2
A Combined Evolutionary Search and Multilevel Optimisation Approach to Graph-Partitioning
Journal of Global Optimization
Application of genetic algorithms to lubrication pump stacking design
Journal of Computational and Applied Mathematics - Special issue: Selected papers from the 2nd international conference on advanced computational methods in engineering (ACOMEN2002) Liege University, Belgium, 27-31 May 2002
An Incremental Genetic Algorithm Approach to Multiprocessor Scheduling
IEEE Transactions on Parallel and Distributed Systems
Repulsive force control based on distance computation algorithm
Computers and Industrial Engineering
A New Memetic Algorithm for the Asymmetric Traveling Salesman Problem
Journal of Heuristics
Improving search results with data mining in a thematic search engine
Computers and Operations Research
A new approach to solve hybrid flow shop scheduling problems by artificial immune system
Future Generation Computer Systems - Special issue: Computational science of lattice Boltzmann modelling
Virtual worlds: simulation based optimization in fishery management
Proceedings of the 34th conference on Winter simulation: exploring new frontiers
Proceedings of the 34th conference on Winter simulation: exploring new frontiers
Maufacturing supply chain applications 1: supply chain multi-objective simulation optimization
Proceedings of the 34th conference on Winter simulation: exploring new frontiers
CEPM 1: selecting earthmoving equipment fleets using genetic algorithms
Proceedings of the 34th conference on Winter simulation: exploring new frontiers
General methodology 1: a robust simulation-based multicriteria optimization methodology
Proceedings of the 34th conference on Winter simulation: exploring new frontiers
Proceedings of the 35th conference on Winter simulation: driving innovation
Proceedings of the 35th conference on Winter simulation: driving innovation
Market-based recommendation: Agents that compete for consumer attention
ACM Transactions on Internet Technology (TOIT)
Virtual Analyzers: Identification Approach
Automation and Remote Control
A study on hybrid random signal-based learning and its applications
International Journal of Systems Science
Time-series prediction using adaptive neuro-fuzzy networks
International Journal of Systems Science
Index-Based Persistent Document Identifiers
Information Retrieval
Manual and automatic design for UMTS networks
Mobile Networks and Applications
Evolutionary Computation - Special issue on magnetic algorithms
Future Generation Computer Systems - Special issue: Geocomputation
Flow-shop scheduling for three serial stations with the last two duplicate
Computers and Operations Research
Computers and Industrial Engineering
A genetic algorithm for facility layout problems of different manufacturing environments
Computers and Industrial Engineering
An evolutionary algorithm for manufacturing cell formation
Computers and Industrial Engineering
Earliness/tardiness scheduling with a common due date and family setups
Computers and Industrial Engineering
Evolving neural networks to identify bent-double galaxies in the FIRST survey
Neural Networks - 2003 Special issue: Neural network analysis of complex scientific data: Astronomy and geosciences
Journal of Biomedical Informatics - Special issue: Biomedical machine learning
Randomized Variable Elimination
The Journal of Machine Learning Research
Data mining of Bayesian networks using cooperative coevolution
Decision Support Systems
A Study on Distribution Preservation Mechanism in Evolutionary Multi-Objective Optimization
Artificial Intelligence Review
Application of optimization techniques to parameter set-up in scheduling
Computers in Industry
Solving Multiobjective Optimization Problems Using an Artificial Immune System
Genetic Programming and Evolvable Machines
Journal of Electronic Testing: Theory and Applications
The Design and Implementation of a Framework for Automatic Modularization of Software Systems
The Journal of Supercomputing
Proceedings of the 36th SIGCSE technical symposium on Computer science education
Journal of Global Optimization
Application of a breeder genetic algorithm for filter optimization
Natural Computing: an international journal
Optimizing Sorting with Genetic Algorithms
Proceedings of the international symposium on Code generation and optimization
A Dependability-Driven System-Level Design Approach for Embedded Systems
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Evolutionary Optimization in Code-Based Test Compression
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Power Supply Noise-Aware Scheduling and Allocation for DSP Synthesis
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Thermal-Aware Floorplanning Using Genetic Algorithms
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Landscape smoothing of numerical permutation spaces in genetic algorithms
Journal of Computing Sciences in Colleges
Can Genetic Algorithms Explain Experimental Anomalies?
Computational Economics
Bayesian neural networks for nonlinear time series forecasting
Statistics and Computing
Strong, Stable, and Reliable Fitness Pressure in XCS due to Tournament Selection
Genetic Programming and Evolvable Machines
A combined heuristic optimization technique
Advances in Engineering Software - Special issue on evolutionary optimization of engineering problems
Multi-objective optimization of structures topology by genetic algorithms
Advances in Engineering Software - Special issue on evolutionary optimization of engineering problems
Multi-objective pump scheduling optimisation using evolutionary strategies
Advances in Engineering Software - Special issue on evolutionary optimization of engineering problems
Using automatically learnt verb selectional preferences for classification of biomedical terms
Journal of Biomedical Informatics - Special issue: Named entity recognition in biomedicine
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Pattern Analysis and Machine Intelligence
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
An Evolutionary Approach for a Topologic Constrained Routing Problem
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 6 - Volume 07
Choosing document structure weights
Information Processing and Management: an International Journal
Contribution to Design of Complex Mechatronic Systems. An Approach through Evolutionary Optimization
Journal of Intelligent and Robotic Systems
Generating novel tactics through evolutionary computation
ACM SIGART Bulletin
Genetic algorithm to generate optimized soft keyboard
CHI '05 Extended Abstracts on Human Factors in Computing Systems
An effective and efficient algorithm for high-dimensional outlier detection
The VLDB Journal — The International Journal on Very Large Data Bases
Transformation of Compressed Domain Features for Content-Based Image Indexing and Retrieval
Multimedia Tools and Applications
Genetic Approach to Minimizing Energy Consumption of VLSI Processors Using Multiple Supply Voltages
IEEE Transactions on Computers
Evolutionary Search for Faces from Line Drawings
IEEE Transactions on Pattern Analysis and Machine Intelligence
Evolutionary Radial Basis Functions for Credit Assessment
Applied Intelligence
Methods for Operations Planning in Airport Decision Support Systems
Applied Intelligence
Multileveled Symbiotic Evolutionary Algorithm: Application to FMS Loading Problems
Applied Intelligence
Optimized wafer-probe and assembled package test design for analog circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
DE/EDA: a new evolutionary algorithm for global optimization
Information Sciences—Informatics and Computer Science: An International Journal
Proceedings of the 2nd conference on Computing frontiers
Estimating sentence types in computer related new product bulletins using a decision tree
Information Sciences—Informatics and Computer Science: An International Journal
Special issue on evolutionary computation for systems and control applications
International Journal of Systems Science
International Journal of Systems Science
Genetic algorithms with applications in wireless communications
International Journal of Systems Science
Dynamic coast control of train movement with genetic algorithm
International Journal of Systems Science
Batching orders in warehouses by minimizing travel distance with genetic algorithms
Computers in Industry - Special issue: Application of genetics algorithms in industry
Computers in Industry - Special issue: Application of genetics algorithms in industry
A solution to the unequal area facilities layout problem by genetic algorithm
Computers in Industry - Special issue: Application of genetics algorithms in industry
Optimal sequencing of tasks in an aluminium smelter casthouse
Computers in Industry - Special issue: Application of genetics algorithms in industry
Enhancing Stochastic Search Performance by Value-Biased Randomization of Heuristics
Journal of Heuristics
Speeding Up Fractal Image Compression by Genetic Algorithms
Multidimensional Systems and Signal Processing
Genetic Algorithm Coding Methods for Leather Nesting
Applied Intelligence
Genetic Algorithm Optimisation of Mathematical Models Using Distributed Computing
Applied Intelligence
Dynamic Ant Colony Optimisation
Applied Intelligence
Constrained optimalities in query personalization
Proceedings of the 2005 ACM SIGMOD international conference on Management of data
Improving the reliability of medical software by predicting the dangerous software modules
Journal of Medical Systems - Special issue: Computer-based medical systems
Experimenting with a real-size man-hill to optimize pedagogical paths
Proceedings of the 2005 ACM symposium on Applied computing
Dynamic scheduling of scientific workflow applications on the grid: a case study
Proceedings of the 2005 ACM symposium on Applied computing
A new variable---length genome genetic algorithm for data clustering in semeiotics
Proceedings of the 2005 ACM symposium on Applied computing
Optimization with constraints using a cultured differential evolution approach
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Multiplex PCR primer design for gene family using genetic algorithm
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Agent-based modelling of product invention
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
An effective use of crowding distance in multiobjective particle swarm optimization
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Evolving an improved axial structure for fibrillar collagen
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Primer design for multiplex PCR using a genetic algorithm
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
An evolutionary lagrangian method for the 0/1 multiple knapsack problem
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Hyper-heuristics and classifier systems for solving 2D-regular cutting stock problems
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Using a Markov network model in a univariate EDA: an empirical cost-benefit analysis
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Evolutionary strategies for multi-scale radial basis function kernels in support vector machines
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
A hardware pipeline for function optimization using genetic algorithms
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Improving network applications security: a new heuristic to generate stress testing data
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Search-based improvement of subsystem decompositions
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
A theoretical analysis of the HIFF problem
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Information landscapes and the analysis of search algorithms
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Combating user fatigue in iGAs: partial ordering, support vector machines, and synthetic fitness
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Schema disruption in tree-structured chromosomes
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Information landscapes and problem hardness
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Quality-time analysis of multi-objective evolutionary algorithms
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Application of genetic algorithm to optimize burnable poison placement in pressurized water reactors
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
A comparison study between genetic algorithms and bayesian optimize algorithms by novel indices
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Subproblem optimization by gene correlation with singular value decomposition
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
A genetic algorithm for unmanned aerial vehicle routing
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Hybrid real-coded mutation for genetic algorithms applied to graph layouts
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
On the practical genetic algorithms
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Evolving fuzzy decision tree structure that adapts in real-time
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
XCS for robust automatic target recognition
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Constructive induction and genetic algorithms for learning concepts with complex interaction
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Analysis of the initialization stage of a Pittsburgh approach learning classifier system
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
DXCS: an XCS system for distributed data mining
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Interactive estimation of agent-based financial markets models: modularity and learning
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Evolving computer intrusion scripts for vulnerability assessment and log analysis
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Map-labelling with a multi-objective evolutionary algorithm
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Incorporating fuzzy knowledge into fitness: multiobjective evolutionary 3D design of process plants
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Classification of human decision behavior: finding modular decision rules with genetic algorithms
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Predicting mining activity with parallel genetic algorithms
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
An efficient evolutionary algorithm applied to the design of two-dimensional IIR filters
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Evolutionary optimization of dynamic control problems accelerated by progressive step reduction
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Event-driven learning classifier systems for online soccer games
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Using Evolutionary Algorithms for Defining the Sampling Policy of Complex N-Partite Networks
IEEE Transactions on Knowledge and Data Engineering
Journal of Global Optimization
A genetic integrated fuzzy classifier
Pattern Recognition Letters - Special issue: Advances in pattern recognition
Genetic granular classifiers in modeling software quality
Journal of Systems and Software
Study of practical effectiveness for machine translation using recursive chain-link-type learning
COLING '02 Proceedings of the 19th international conference on Computational linguistics - Volume 1
Computers and Operations Research
Computers and Operations Research
A portable and scalable algorithm for a class of constrained combinatorial optimization problems
Computers and Operations Research
A hybrid approach of genetic algorithms and local optimizers in cell loading
Computers and Industrial Engineering - Special issue: Group technology/cellular manufacturing
Encyclopedia of Computer Science
Computers and Operations Research
Stratification for scaling up evolutionary prototype selection
Pattern Recognition Letters
Spiral: A Generator for Platform-Adapted Libraries of Signal Processing Algorithms
International Journal of High Performance Computing Applications
Evolving rule-based systems in two medical domains using genetic programming
Artificial Intelligence in Medicine
On lower bounds for MAC layer contention in CSMA/CA-based wireless networks
DIALM-POMC '05 Proceedings of the 2005 joint workshop on Foundations of mobile computing
Model Selection Using Information Criteria and Genetic Algorithms
Computational Economics
Computers and Industrial Engineering - Special issue: Selected papers from the 31st international conference on computers & industrial engineering
A hybrid genetic-neural architecture for stock indexes forecasting
Information Sciences: an International Journal - Special issue: Computational intelligence in economics and finance
Development of an artificial market model based on a field study
Information Sciences: an International Journal - Special issue: Computational intelligence in economics and finance
Heuristic to optimize L-guillotine cutting operations
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Solutions for product configuration management: An empirical study
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
An adaptive evolutionary algorithm for Volterra system identification
Pattern Recognition Letters
Cycling Co-Evolution Resulting from Genetic Adaptation in Two-Person Zero-Sum Games
Open Systems & Information Dynamics
Scheduling of scientific workflows in the ASKALON grid environment
ACM SIGMOD Record
An approach to optimum joint beamforming design in a MIMO-OFDM multiuser system
EURASIP Journal on Wireless Communications and Networking - Special issue on multiuser MIMO networks
Physical resource binding for a Coarse-Grain reconfigurable array using evolutionary algorithms
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An accurate cost model for guiding data locality transformations
ACM Transactions on Programming Languages and Systems (TOPLAS)
User hints: a framework for interactive optimization
Future Generation Computer Systems
Evolutionary Algorithms in Drug Design
Natural Computing: an international journal
Adaptive negotiation agents for e-business
ICEC '05 Proceedings of the 7th international conference on Electronic commerce
Towards resilient geographic routing in WSNs
Proceedings of the 1st ACM international workshop on Quality of service & security in wireless and mobile networks
Spectral and meta-heuristic algorithms for software clustering
Journal of Systems and Software - Special issue: Software reverse engineering
A language-independent software renovation framework
Journal of Systems and Software - Special issue: Software reverse engineering
Cooperative Multi-Agent Learning: The State of the Art
Autonomous Agents and Multi-Agent Systems
Rule + Exception Strategies for Security Information Analysis
IEEE Intelligent Systems
Efficient Genetic Algorithm Based Data Mining Using Feature Selection with Hausdorff Distance
Information Technology and Management
A Possible Conflict between Economic Efficiency and Political Pressure
Computational Economics
Motivation and framework for using genetic algorithms for microcode compaction
ACM SIGMICRO Newsletter
Temperature-Aware Voltage Islands Architecting in System-on-Chip Design
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Real royal road functions: where crossover provably is essential
Discrete Applied Mathematics - Special issue: Boolean and pseudo-boolean funtions
Global and Local Search Algorithms for Concave Cost Transshipment Problems
Journal of Global Optimization
Interval Branch and Bound with Local Sampling for Constrained Global Optimization
Journal of Global Optimization
Learning, anticipation and time-deception in evolutionary online dynamic optimization
GECCO '05 Proceedings of the 7th annual workshop on Genetic and evolutionary computation
Inverse multi-objective robust evolutionary design optimization in the presence of uncertainty
GECCO '05 Proceedings of the 7th annual workshop on Genetic and evolutionary computation
Be real! XCS with continuous-valued inputs
GECCO '05 Proceedings of the 7th annual workshop on Genetic and evolutionary computation
GECCO '05 Proceedings of the 7th annual workshop on Genetic and evolutionary computation
Distributed genetic algorithm for subtraction radiography
GECCO '05 Proceedings of the 7th annual workshop on Genetic and evolutionary computation
Evolving next generation signal compression and reconstruction transforms via genetic algorithms
GECCO '05 Proceedings of the 7th annual workshop on Genetic and evolutionary computation
Topological crossover for the permutation representation
GECCO '05 Proceedings of the 7th annual workshop on Genetic and evolutionary computation
Finding useful fuzzy concepts for pattern classification using genetic algorithm
Information Sciences: an International Journal
A QoS-Tunable Scheme for ATM Cell Scheduling Using Evolutionary Fuzzy System
Applied Intelligence
Improving Parallel Ordering of Sparse Matrices Using Genetic Algorithms
Applied Intelligence
Adaptive Aircraft Flight Control Simulation Based on an Artificial Immune System
Applied Intelligence
Example-Based Robust Outlier Detection in High Dimensional Datasets
ICDM '05 Proceedings of the Fifth IEEE International Conference on Data Mining
ICDAR '05 Proceedings of the Eighth International Conference on Document Analysis and Recognition
An Integrated Data Preparation Scheme for Neural Network Data Analysis
IEEE Transactions on Knowledge and Data Engineering
Evolutionary Body Building: Adaptive Physical Designs for Robots
Artificial Life
Evolving General Term-Weighting Schemes for Information Retrieval: Tests on Larger Collections
Artificial Intelligence Review
Genetic Programming and Evolvable Machines
Tantrix: A Minute to Learn, 100 (Genetic Algorithm) Generations to Master
Genetic Programming and Evolvable Machines
An object-oriented intelligent disassembly sequence planner for maintenance
Computers in Industry
Optical Coating Designs Using the Family Competition Evolutionary Algorithm
Evolutionary Computation
Empirical Modelling of Genetic Algorithms
Evolutionary Computation
Local Reinforcement and Recombination in Classifier Systems
Evolutionary Computation
Constructive Genetic Algorithm for Clustering Problems
Evolutionary Computation
Form Invariance and Implicit Parallelism
Evolutionary Computation
Adversarial Search by Evolutionary Computation
Evolutionary Computation
A Comparison Study of Self-Adaptation in Evolution Strategies and Real-Coded Genetic Algorithms
Evolutionary Computation
A Convergence Analysis of Unconstrained and Bound Constrained Evolutionary Pattern Search
Evolutionary Computation
A Genetic Engineering Approach to Genetic Algorithms
Evolutionary Computation
Where Genetic Algorithms Excel
Evolutionary Computation
Embodiment of Evolutionary Computation in General Agents
Evolutionary Computation
Analysis of the (1, λ) - ES on the Parabolic Ridge
Evolutionary Computation
A Genetic Model: Analysis and Application to MAXSAT
Evolutionary Computation
Linkage Problem, Distribution Estimation, and Bayesian Networks
Evolutionary Computation
Evolutionary Computation
Multiobjective Evolutionary Algorithms: Analyzing the State-of-the-Art
Evolutionary Computation
Comparison of Multiobjective Evolutionary Algorithms: Empirical Results
Evolutionary Computation
Multiobjective Satisfaction within an Interactive Evolutionary Design Environment
Evolutionary Computation
Efficient and Scalable Pareto Optimization by Evolutionary Local Selection Algorithms
Evolutionary Computation
Variable Length Representation in Evolutionary Electronics
Evolutionary Computation
LARES: An Artificial Chemical Process Approach for Optimization
Evolutionary Computation
Structural Search Spaces and Genetic Operators
Evolutionary Computation
Efficient Linkage Discovery by Limited Probing
Evolutionary Computation
Combating Coevolutionary Disengagement by Reducing Parasite Virulence
Evolutionary Computation
On the Design and Analysis of Competent Selecto-recombinative GAs
Evolutionary Computation
Evolutionary Computation
Rule Fitness and Pathology in Learning Classifier Systems
Evolutionary Computation
Resilient Individuals Improve Evolutionary Search
Artificial Life
A Comprehensive Overview of the Applications of Artificial Life
Artificial Life
Space Complexity of Estimation of Distribution Algorithms
Evolutionary Computation
A Study of Fitness Distance Correlation as a Difficulty Measure in Genetic Programming
Evolutionary Computation
Evolving Evolutionary Algorithms Using Linear Genetic Programming
Evolutionary Computation
On the Choice of the Offspring Population Size in Evolutionary Algorithms
Evolutionary Computation
Multi-Objective Genetic Algorithms for Vehicle Routing Problem with Time Windows
Applied Intelligence
Saving Evaluations in Differential Evolution for Constrained Optimization
ENC '05 Proceedings of the Sixth Mexican International Conference on Computer Science
High-level synthesis using computation-unit integrated memories
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
A genetic algorithm for 1,5 dimensional assortment problems with multiple objectives
IEA/AIE'2003 Proceedings of the 16th international conference on Developments in applied artificial intelligence
Improving genetic algorithms' efficiency using intelligent fitness functions
IEA/AIE'2003 Proceedings of the 16th international conference on Developments in applied artificial intelligence
Packet transmission optimisation using genetic algorithms
IEA/AIE'2003 Proceedings of the 16th international conference on Developments in applied artificial intelligence
Genetic algorithms: the philosopher's stone or an effective solution for high-level TPG?
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Computers and Operations Research
Hybrid meta-heuristics algorithms for task assignment in heterogeneous computing systems
Computers and Operations Research
A Genetic Algorithm Using Hyper-Quadtrees for Low-Dimensional K-means Clustering
IEEE Transactions on Pattern Analysis and Machine Intelligence
Minimizing crossings in hierarchical digraphs with a hybridized genetic algorithm
Journal of Heuristics
The coordination of parallel search with common components
IEA/AIE'2005 Proceedings of the 18th international conference on Innovations in Applied Artificial Intelligence
A Study of Structural and Parametric Learning in XCS
Evolutionary Computation
A Note on the Extended Rosenbrock Function
Evolutionary Computation
Yield-area optimizations of digital circuits using non-dominated sorting genetic algorithm (YOGA)
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Optimum design of structures by an improved genetic algorithm using neural networks
Advances in Engineering Software - Selected papers from civil-comp 2003 and AlCivil-comp 2003
Stabilisation diagrams: pole identification using fuzzy clustering techniques
Advances in Engineering Software - Selected papers from civil-comp 2003 and AlCivil-comp 2003
Genetic algorithms for modelling and optimisation
Journal of Computational and Applied Mathematics - Special issue: Mathematics applied to immunology
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Experiences creating three implementations of the repast agent modeling toolkit
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Using genetic algorithms to improve interpretation of satellite data
ACM-SE 33 Proceedings of the 33rd annual on Southeast regional conference
A Novel Approach for Optimal Design of a Rover Mechanism
Journal of Intelligent and Robotic Systems
GA-based Fuzzy System Design in FPGA for an Omni-directional Mobile Robot
Journal of Intelligent and Robotic Systems
The parcel hub scheduling problem: a simulation-based solution approach
Computers and Industrial Engineering
The one-dimensional Ising model: mutation versus recombination
Theoretical Computer Science
MA|PM: memetic algorithms with population management
Computers and Operations Research
Learning with generalization capability by kernal methods of bounded complexity
Journal of Complexity
Answering constraint-based mining queries on itemsets using previous materialized results
Journal of Intelligent Information Systems
Multiobjective particle swarm optimization
ACM-SE 38 Proceedings of the 38th annual on Southeast regional conference
A Fuzzy Approach to Partitioning Continuous Attributes for Classification
IEEE Transactions on Knowledge and Data Engineering
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Priority scheduling in digital microfluidics-based biochips
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Efficient huge-scale feature selection with speciated genetic algorithm
Pattern Recognition Letters
Global Optimization using a Dynamical Systems Approach
Journal of Global Optimization
Learning inexpensive parametric design models using an augmented genetic programming technique
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Self-regulatory hierarchical coevolution
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Iterative genetic algorithm for learning efficient fuzzy rule set
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
A new optimization method: big bang-big crunch
Advances in Engineering Software
Introducing lateral thinking in search engines
Genetic Programming and Evolvable Machines
Solving differential equations with genetic programming
Genetic Programming and Evolvable Machines
Emergence of genomic self-similarity in location independent representations
Genetic Programming and Evolvable Machines
A New Approach to Parallelization of Serial Nested Loops Using Genetic Algorithms
The Journal of Supercomputing
The Multifactor Nature of the Volatility of Futures Markets
Computational Economics
Programming and Computing Software
Improved Genetic Algorithm for Channel Allocation with Channel Borrowing in Mobile Computing
IEEE Transactions on Mobile Computing
Advanced AI search techniques in modern digital circuit synthesis
Artificial intelligence in logic design
Evolutionary approach to quantum and reversible circuits synthesis
Artificial intelligence in logic design
Reducing internal fragmentation in segregated free lists using genetic algorithms
Proceedings of the 2006 international workshop on Workshop on interdisciplinary software engineering research
Evolving local and global weighting schemes in information retrieval
Information Retrieval
User modelling using evolutionary interactive reinforcement learning
Information Retrieval
An accurate active shape model for facial feature extraction
Pattern Recognition Letters
Finite Elements in Analysis and Design
Computers and Operations Research
A new branch-&-bound-enhanced genetic algorithm for the manufacturing cell formation problem
Computers and Operations Research
Constraint handling in genetic algorithms using a gradient-based repair method
Computers and Operations Research
Fuzzy programming with recourse
International Journal of Uncertainty, Fuzziness and Knowledge-Based Systems
Generation of Musical Sequences with Genetic Techniques
Computer Music Journal
Decision support system induced guidance for model formulation and solution
Decision Support Systems
Machining fixture locating and clamping position optimization using genetic algorithms
Computers in Industry
Proceedings of the 2006 ACM symposium on Applied computing
An adjustment model in a geometric constraint solving problem
Proceedings of the 2006 ACM symposium on Applied computing
Scheduling dependent items in data broadcasting environments
Proceedings of the 2006 ACM symposium on Applied computing
Ordered incremental training for GA-based classifiers
Pattern Recognition Letters
Evolutionary concept learning in first order logic: an overview
AI Communications
Algorithms for IP network design with end-to-end QoS constraints
Computer Networks: The International Journal of Computer and Telecommunications Networking - Selected papers from the 3rd international workshop on QoS in multiservice IP networks (QoS-IP 2005)
Multiple task assignments for cooperating uninhabited aerial vehicles using genetic algorithms
Computers and Operations Research
Heuristic shortest path algorithms for transportation applications: state of the art
Computers and Operations Research
A hybrid setup for a hybrid scenario: combining heuristics for the home health care problem
Computers and Operations Research
An effective hybrid genetic algorithm for flow shop scheduling with limited buffers
Computers and Operations Research
Identification of fuzzy measures from sample data with genetic algorithms
Computers and Operations Research
Improving real-parameter genetic algorithm with simulated annealing for engineering problems
Advances in Engineering Software
Introducing recombination with dynamic linkage discovery to particle swarm optimization
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Adaption in distributed systems: an evolutionary approach
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Identification of weak motifs in multiple biological sequences using genetic algorithm
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A game-theoretic investigation of selection methods in two-population coevolution
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Heterogeneous cooperative coevolution: strategies of integration between GP and GA
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Sporadic model building for efficiency enhancement of hierarchical BOA
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Optimising cancer chemotherapy using an estimation of distribution algorithm and genetic algorithms
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A comparative study of differential evolution variants for global optimization
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A GA-based method to produce generalized hyper-heuristics for the 2D-regular cutting stock problem
Proceedings of the 8th annual conference on Genetic and evolutionary computation
An efficient multi-objective evolutionary algorithm with steady-state replacement model
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Proceedings of the 8th annual conference on Genetic and evolutionary computation
ORDERTREE: a new test problem for genetic programming
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Embedded cartesian genetic programming and the lawnmower and hierarchical-if-and-only-if problems
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Alternative evolutionary algorithms for evolving programs: evolution strategies and steady state GP
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Adaptive discretization for probabilistic model building genetic algorithms
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Crossover gene selection by spatial location
Proceedings of the 8th annual conference on Genetic and evolutionary computation
gLINC: identifying composability using group perturbation
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Robot gaits evolved by combining genetic algorithms and binary hill climbing
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Multi-attractor gene reordering for graph bisection
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A splicing/decomposable encoding and its novel operators for genetic algorithms
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Spectral techniques for graph bisection in genetic algorithms
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A fast hybrid genetic algorithm for the quadratic assignment problem
Proceedings of the 8th annual conference on Genetic and evolutionary computation
How an optimal observer can collapse the search space
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Comparison of multi-modal optimization algorithms based on evolutionary algorithms
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Strong recombination, weak selection, and mutation
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Game theory as a new paradigm for phenotype characterization of genetic algorithms
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Fast rule matching for learning classifier systems via vector instructions
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Multiobjective genetic algorithms for multiscaling excited state direct dynamics in photochemistry
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Reward allotment in an event-driven hybrid learning classifier system for online soccer games
Proceedings of the 8th annual conference on Genetic and evolutionary computation
On the benefits of inoculation, an example in train scheduling
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Multi-objective optimisation of the protein-ligand docking problem in drug discovery
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Behavioural GP diversity for dynamic environments: an application in hedge fund investment
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Evolutionary interactive music composition
Proceedings of the 8th annual conference on Genetic and evolutionary computation
A novel approach to optimize clone refactoring activity
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Optimization algorithm based on densification and dynamic canonical descent
Journal of Computational and Applied Mathematics - Special issue: The international conference on computational methods in sciences and engineering 2004
Detecting business cycle asymmetries using artificial neural networks and time series models
Computational Economics
Increasing revenues via adaptive filtering in wired/wireless networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Two-machine flow shop problems with a single server
Journal of Scheduling
An object detection and recognition system for weld bead extraction from digital radiographs
Computer Vision and Image Understanding
Decision Support Systems - Special issue: Intelligence and security informatics
Hierarchical constraint transformation based on genetic optimization for analog system synthesis
Integration, the VLSI Journal
Improving Portfolio Efficiency: A Genetic Algorithm Approach
Computational Economics
Preface: Introduction to the special issue on evolutionary computer vision and image understanding
Pattern Recognition Letters - Special issue: Evolutionary computer vision and image understanding
Pre-registration of arbitrarily oriented 3D surfaces using a genetic algorithm
Pattern Recognition Letters - Special issue: Evolutionary computer vision and image understanding
Pattern Recognition Letters - Special issue: Evolutionary computer vision and image understanding
Incorporating large unlabeled data to enhance EM classification
Journal of Intelligent Information Systems
A New Genetic Algorithm for Loop Tiling
The Journal of Supercomputing
Randomised restarted search in ILP
Machine Learning
On-line Evolutionary Resource Matching for Job Scheduling in Heterogeneous Grid Environments
ICPADS '06 Proceedings of the 12th International Conference on Parallel and Distributed Systems - Volume 2
A review of optimization techniques in metal cutting processes
Computers and Industrial Engineering
Multi-robot learning with particle swarm optimization
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
Genetic algorithms for linear feature extraction
Pattern Recognition Letters
A case study in meta-simulation design and performance analysis for large-scale networks
WSC '04 Proceedings of the 36th conference on Winter simulation
Global likelihood optimization via the cross-entropy method with an application to mixture models
WSC '04 Proceedings of the 36th conference on Winter simulation
"ONE" a new tool for supply chain network optimization and simulation
WSC '04 Proceedings of the 36th conference on Winter simulation
A Computational Study into the Evolution of Dual-Route Dynamics for Affective Processing
Journal of Cognitive Neuroscience
Finite Elements in Analysis and Design
A new fine-grained evolutionary algorithm based on cellular learning automata
International Journal of Hybrid Intelligent Systems
Introducing virtual engineering technology into interactive design process with high-fidelity models
WSC '05 Proceedings of the 37th conference on Winter simulation
Decision support system for fisheries management
WSC '05 Proceedings of the 37th conference on Winter simulation
Calibration of VISSIM for shanghai expressway using genetic algorithm
WSC '05 Proceedings of the 37th conference on Winter simulation
A comprehensive review of nature inspired routing algorithms for fixed telecommunication networks
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Nature-inspired applications and systems
Backward-chaining evolutionary algorithms
Artificial Intelligence
VIP '02 Selected papers from the 2002 Pan-Sydney workshop on Visualisation - Volume 22
WBSGA: a web-based tool for course timetabling and scheduling
International Journal of Computers and Applications
Cooperative behavior rule acquisition for multi-agent systems using a genetic algorithm
ACST'06 Proceedings of the 2nd IASTED international conference on Advances in computer science and technology
Robust optimal design for active pantograph suspension of light rail vehicles
MIC'06 Proceedings of the 25th IASTED international conference on Modeling, indentification, and control
Multiobjective Search Algorithm with Subdivision Technique
Computational Optimization and Applications
Multiple pedestrians recognition using hybrid GA for driving assistance system
AIA'06 Proceedings of the 24th IASTED international conference on Artificial intelligence and applications
AIA'06 Proceedings of the 24th IASTED international conference on Artificial intelligence and applications
Genetic operators design using division algorithm in the integer solution space
MS'06 Proceedings of the 17th IASTED international conference on Modelling and simulation
A method for the minimum coloring problem using genetic algorithms
MS'06 Proceedings of the 17th IASTED international conference on Modelling and simulation
Differentiable coarse graining
Theoretical Computer Science - Foundations of genetic algorithms
Reference chromosome to overcome user fatigue in IEC
New Generation Computing
Multi-objective optimization scheme for multicast flows: a survey, a model and a MOEA solution
LANC '05 Proceedings of the 3rd international IFIP/ACM Latin American conference on Networking
Heuristics-based scheduling of composite web service workloads
Proceedings of the 1st workshop on Middleware for Service Oriented Computing (MW4SOC 2006)
Texture discrimination and analysis using optimized bi-orthogonal wavelet bases
SPPRA'06 Proceedings of the 24th IASTED international conference on Signal processing, pattern recognition, and applications
Time series extrapolation using hierarchical case-based reasoning
SPPRA'06 Proceedings of the 24th IASTED international conference on Signal processing, pattern recognition, and applications
Relevance feedback algorithm based on learning from labeled and unlabeled data
ICME '03 Proceedings of the 2003 International Conference on Multimedia and Expo - Volume 2
IEEE Transactions on Computers
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
Computers in Industry - Special issue: E-maintenance
A Hybrid SOM-BPN Approach to Lot Output Time Prediction in a Wafer Fab
Neural Processing Letters
ACM SIGEVOlution
A step forward in studying the compact genetic algorithm
Evolutionary Computation
Optimum tracking with evolution strategies
Evolutionary Computation
Proceedings of the 2006 ACM/IEEE symposium on Architecture for networking and communications systems
Museum security enhanced using genetic algorithms and virtual reality
SIGGRAPH '04 ACM SIGGRAPH 2004 Posters
WebMedia '06 Proceedings of the 12th Brazilian Symposium on Multimedia and the web
Genetic programming for the prediction of insolvency in non-life insurance companies
Computers and Operations Research
Solving the uncapacitated hub location problem using genetic algorithms
Computers and Operations Research
A case based approach to expressivity-aware tempo transformation
Machine Learning
Special section: research in integrating learning capabilities into information systems
Journal of Management Information Systems - Special section: Research in integrating learning capabilities into information systems
Dynamics of modeling in data mining: interpretive approach to bankruptcy prediction
Journal of Management Information Systems - Special section: Data mining
Enhancing user understanding in a decision support system: a theoretical basis and framework
Journal of Management Information Systems
A machine-learning approach to automated negotiation and prospects for electronic commerce
Journal of Management Information Systems - Special issue: Information technology and its organizational impact
Journal of Management Information Systems - Special section: Information technology and its organizational impact
GANNET: a machine learning approach to document retrieval
Journal of Management Information Systems - Special section: Information technology and IT organizational impact
Extending SQL with graph matching and set covering for decision support applications
Journal of Management Information Systems
Artificial agents learn policies for multi-issue negotiation
International Journal of Electronic Commerce - Special issue: Systems for computer-mediated digital commerce
I-SEE: an intelligent search agent for electronic commerce
International Journal of Electronic Commerce
Comparing the Performance of MLP and RBF Neural Networks Employed by Negotiating Intelligent Agents
IAT '06 Proceedings of the IEEE/WIC/ACM international conference on Intelligent Agent Technology
An Intelligent Multi-hop Routing for Wireless Sensor Networks
WI-IATW '06 Proceedings of the 2006 IEEE/WIC/ACM international conference on Web Intelligence and Intelligent Agent Technology
Significance of classification scores subsequent to feature selection
Pattern Recognition Letters
From error probability to information theoretic (multi-modal) signal processing
Signal Processing - Special issue: Information theoretic signal processing
Journal of Biomedical Informatics - Special section: JAMA commentaries
Simulation of manual materials handling: biomechanival assessment under different lifting conditions
Technology and Health Care
Evolutionary Dynamics in Public Good Games
Computational Economics
Effects of diversity control in single-objective and multi-objective genetic algorithms
Journal of Heuristics
On initial populations of a genetic algorithm for continuous optimization problems
Journal of Global Optimization
Mobile Robot Global Localization using an Evolutionary MAP Filter
Journal of Global Optimization
Computational Intelligence: Concepts to Implementations
Computational Intelligence: Concepts to Implementations
A GA-based parameter design for single machine turning process with high-volume production
Computers and Industrial Engineering
A genetic algorithm and a particle swarm optimizer hybridized with Nelder-Mead simplex search
Computers and Industrial Engineering - Special issue: Sustainability and globalization: Selected papers from the 32 nd ICC&IE
A hybrid optimization/simulation approach for a distribution network design of 3PLS
Computers and Industrial Engineering - Special issue: Sustainability and globalization: Selected papers from the 32 nd ICC&IE
Pattern classification by concurrently determined piecewise linear and convex discriminant functions
Computers and Industrial Engineering - Special issue: Computational intelligence and information technology applications to industrial engineering selected papers from the 33 rd ICC&IE
Ensemble based on GA wrapper feature selection
Computers and Industrial Engineering - Special issue: Computational intelligence and information technology applications to industrial engineering selected papers from the 33 rd ICC&IE
Computers and Industrial Engineering - Special issue: Computational intelligence and information technology applications to industrial engineering selected papers from the 33 rd ICC&IE
A hybrid sales forecasting system based on clustering and decision trees
Decision Support Systems
Proceedings of the 38th conference on Winter simulation
Modeling and simulation of Canadian forces strategic lift strategies
Proceedings of the 38th conference on Winter simulation
Application of stochastic optimization method for an urban corridor
Proceedings of the 38th conference on Winter simulation
Application of factorial designs for reducing factors in optimization via discrete-event simulation
Proceedings of the 38th conference on Winter simulation
Frequency estimation of undamped exponential signals using genetic algorithms
Computational Statistics & Data Analysis
Classification of smoking cessation status with a backpropagation neural network
Journal of Biomedical Informatics
Electro jet drilling using hybrid NNGA approach
Robotics and Computer-Integrated Manufacturing
Engineering Applications of Artificial Intelligence
Genetic learning and performance evaluation of interval type-2 fuzzy logic controllers
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
A genetic-fuzzy-neuro model encodes FNNs using SWRM and BRM
Engineering Applications of Artificial Intelligence
Multiobjective controller design handling human preferences
Engineering Applications of Artificial Intelligence
Ensembles of Classifiers Based on Approximate Reducts
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2000)
Classification by evolutionary ensembles
Pattern Recognition
Fingerprint matching by genetic algorithms
Pattern Recognition
Multi-sensor fusion: an Evolutionary algorithm approach
Information Fusion
Classifier hierarchy learning by means of genetic algorithms
Pattern Recognition Letters
Multi-objective evolutionary biclustering of gene expression data
Pattern Recognition
Damage assessment of structures using hybrid neuro-genetic algorithm
Applied Soft Computing
Genetic subgradient method for solving location-allocation problems
Applied Soft Computing
A novel approach to the placement and routing problems for field programmable gate arrays
Applied Soft Computing
Applying genetic algorithms to dynamic lot sizing with batch ordering
Computers and Industrial Engineering
A genetic system based on simulated crossover of sequences of two-bit genes
Theoretical Computer Science
Parallel evolution strategy on grids for the protein threading problem
Journal of Parallel and Distributed Computing
Experiments with free concept generation in Divago
Knowledge-Based Systems
Platform-based product design and development: A knowledge-intensive support approach
Knowledge-Based Systems
International Journal of Approximate Reasoning
International Journal of Approximate Reasoning
Computational Biology and Chemistry
Expert Systems with Applications: An International Journal
Optimizing the distribution of shopping centers with parallel genetic algorithm
Engineering Applications of Artificial Intelligence
Damage detection by an adaptive real-parameter simulated annealing genetic algorithm
Computers and Structures
Fuzzy fusion for skin detection
Fuzzy Sets and Systems
Microarray image gridding with stochastic search based approaches
Image and Vision Computing
Evolutionary approach to inverse planning in coplanar radiotherapy
Image and Vision Computing
An integrated fuzzy cells-classifier
Image and Vision Computing
Heuristic approaches for batching jobs in printed circuit board assembly
Computers and Operations Research
A hybrid grouping genetic algorithm for the cell formation problem
Computers and Operations Research
Computers and Operations Research
Side chain placement using estimation of distribution algorithms
Artificial Intelligence in Medicine
Weighted Sub-Gabor for face recognition
Pattern Recognition Letters
Cancer gene search with data-mining and genetic algorithms
Computers in Biology and Medicine
Location verification and trust management for resilient geographic routing
Journal of Parallel and Distributed Computing
Parallelisation of genetic algorithms for the 2-page crossing number problem
Journal of Parallel and Distributed Computing
A multi-model approach to analysis of environmental phenomena
Environmental Modelling & Software
Adaptive design optimization of wireless sensor networks using genetic algorithms
Computer Networks: The International Journal of Computer and Telecommunications Networking
Evolution of fuzzy behaviors for multi-robotic system
Robotics and Autonomous Systems
Optimum geometry design of nonlinear braced domes using genetic algorithm
Computers and Structures
Fuzzy integral-based perceptron for two-class pattern classification problems
Information Sciences: an International Journal
Model-based predictive control of greenhouse climate for reducing energy and water consumption
Computers and Electronics in Agriculture
Information Sciences: an International Journal
Exploring k-circulant supersaturated designs via genetic algorithms
Computational Statistics & Data Analysis
PGO: A parallel computing platform for global optimization based on genetic algorithm
Computers & Geosciences
'Cheap grid': Leveraging system failure using stochastic computation
Future Generation Computer Systems
Efficient Hierarchical Parallel Genetic Algorithms using Grid computing
Future Generation Computer Systems
Pattern Recognition Letters
Fuzzy-genetic algorithm for automatic fault detection in HVAC systems
Applied Soft Computing
Imitation learning with spiking neural networks and real-world devices
Engineering Applications of Artificial Intelligence
Clustering e-commerce search engines based on their search interface pages using WISE-cluster
Data & Knowledge Engineering - Special issue: WIDM 2004
On linear mixture of expert approaches to information retrieval
Decision Support Systems
Computers & Mathematics with Applications
Ensemble methods for spoken emotion recognition in call-centres
Speech Communication
Journal of Computer and System Sciences - Special issue: Performance modelling and evaluation of computer systems
A New Density-Based Scheme for Clustering Based on Genetic Algorithm
Fundamenta Informaticae
Design for 2-D error feedback networks with identical coefficient sets
Multidimensional Systems and Signal Processing
Solution approaches for facility location of medical supplies for large-scale emergencies
Computers and Industrial Engineering
SASS applied to optimum work roll profile selection in the hot rolling of wide steel
Knowledge-Based Systems
Classifier hierarchy learning by means of genetic algorithms
Pattern Recognition Letters
Understanding the biases of generalised recombination: part I
Evolutionary Computation
Walking by thinking: the brainwaves are crucial, not the muscles!
Presence: Teleoperators and Virtual Environments - Special issue: 8th annual international workshop on presence II
A near-optimal multicast scheme for mobile ad hoc networks using a hybrid genetic algorithm
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A multi-crossover genetic approach to multivariable PID controllers tuning
Expert Systems with Applications: An International Journal
An adaptive scheduling system with genetic algorithms for arranging employee training programs
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
GA-fuzzy control of smart base isolated benchmark building using supervisory control technique
Advances in Engineering Software
Advances in Engineering Software
The economic lot scheduling problem: A pure genetic search approach
Computers and Operations Research
Microelectronic Engineering
Empirical Software Engineering
Evolutionary morphogenesis for multi-cellular systems
Genetic Programming and Evolvable Machines
Genetic programming incorporating biased mutation for evolution and adaptation of Snakebot
Genetic Programming and Evolvable Machines
Hierarchical multi-classifier system design based on evolutionary computation technique
Multimedia Tools and Applications
Modeling and numerical study of actuator and sensor effects for a laminated piezoelectric plate
Computers and Structures
Journal of Systems and Software
Nearly optimal neural network stabilization of bipedal standing using genetic algorithm
Engineering Applications of Artificial Intelligence
User-centered design by genetic algorithms: Application to brass musical instrument optimization
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Schema genetic algorithm for fractal image compression
Engineering Applications of Artificial Intelligence
Optimal task partition and distribution in grid service system with common cause failures
Future Generation Computer Systems - Special section: Information engineering and enterprise architecture in distributed computing environments
A constraint-based approach to feasibility assessment in preliminary design
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Particle Swarms for Linearly Constrained Optimisation
Fundamenta Informaticae
Logic - Oriented Fuzzy Neural Networks
International Journal of Hybrid Intelligent Systems
Evolving Neural Network Ensembles by Minimization of Mutual Information
International Journal of Hybrid Intelligent Systems
A Hybrid Approach Using Gaussian Smoothing and Genetic Algorithm for Multilevel Thresholding
International Journal of Hybrid Intelligent Systems
International Journal of Hybrid Intelligent Systems
Maximum-entropy estimated distribution model for classification problems
International Journal of Hybrid Intelligent Systems
Edge histogram based sampling with local search for solving permutation problems
International Journal of Hybrid Intelligent Systems
Software project management with GAs
Information Sciences: an International Journal
High-speed, low-leakage integrated circuits: An evolutionary algorithm perspective
Journal of Systems Architecture: the EUROMICRO Journal
Parameter optimized, vertical, nearest-neighbor-vote and boundary-based classification
ACM SIGKDD Explorations Newsletter
Univariate marginal distribution algorithms for non-stationary optimization problems
International Journal of Knowledge-based and Intelligent Engineering Systems
Novel multi-centroid, multi-run sampling schemes for $K$-medoids-based algorithms
International Journal of Knowledge-based and Intelligent Engineering Systems
Multi-layered agent ontology for soft computing systems
International Journal of Knowledge-based and Intelligent Engineering Systems - Advanced Intelligent Techniques in Engineering Applications
A hybrid genetic algorithm for sequencing PCB component placement
International Journal of Knowledge-based and Intelligent Engineering Systems - Integrated and hybrid intelligent systems in product design and development
Robust automatic target recognition using learning classifier systems
Information Fusion
A genetic algorithm for dynamic advanced planning and scheduling (DAPS) with a frozen interval
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Credit scoring with a data mining approach based on support vector machines
Expert Systems with Applications: An International Journal
Piecewise nonlinear goal-directed CPPI strategy
Expert Systems with Applications: An International Journal
Particle Pairing Using Genetic Algorithms for PIV
Journal of Visualization - International Conference on Optical Technology and Image Processing in Fluid, Thermal, and Combustion Flow, Yokohama, Japan, December 1998
Attacks of simple block ciphers via efficient heuristics
Information Sciences: an International Journal
Brief paper: An efficient controller structure with minimum roundoff noise gain
Automatica (Journal of IFAC)
Genetic algorithms to solve the cover printing problem
Computers and Operations Research
A macroscopic collisional model for debris-flows simulation
Environmental Modelling & Software
Ensembling evidential k-nearest neighbor classifiers through multi-modal perturbation
Applied Soft Computing
Facing classification problems with Particle Swarm Optimization
Applied Soft Computing
Manipulator trajectory planning using a MOEA
Applied Soft Computing
Adaptive genetic algorithms applied to dynamic multiobjective problems
Applied Soft Computing
VQ-based watermarking scheme with genetic codebook partition
Journal of Network and Computer Applications - Special issue: Network and information security: A computational intelligence approach
Journal of Network and Computer Applications - Special issue: Network and information security: A computational intelligence approach
Intrusion detection using a fuzzy genetics-based learning algorithm
Journal of Network and Computer Applications - Special issue: Network and information security: A computational intelligence approach
Genetic operators for combinatorial optimization in TSP and microarray gene ordering
Applied Intelligence
Intelligent classification of bacterial clinical isolates in vitro, using an array of gas sensors
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
International Journal of Knowledge-based and Intelligent Engineering Systems
A new adaptive genetic algorithm for fixed channel assignment
Information Sciences: an International Journal
A new fuzzy operator and its application to topology design of distributed local area networks
Information Sciences: an International Journal
Human guided evolution of XUL user interfaces
CHI '07 Extended Abstracts on Human Factors in Computing Systems
A line up evolutionary algorithm for solving nonlinear constrained optimization problems
Computers and Operations Research
Memetic algorithms for parallel code optimization
International Journal of Parallel Programming
Multiple Evolutionary Agents For Decision Support
Journal of Integrated Design & Process Science - Computer Supported Cooperative Work In Design
A novel approach to polygonal approximation of digital curves
Journal of Visual Communication and Image Representation
Precise acquisition and unsupervised segmentation of multi-spectral images
Computer Vision and Image Understanding
Visual learning of texture descriptors for facial expression recognition in thermal imagery
Computer Vision and Image Understanding
Adaptive estimated maximum-entropy distribution model
Information Sciences: an International Journal
Microprocessors & Microsystems
Development of a customized processor architecture for accelerating genetic algorithms
Microprocessors & Microsystems
Computers and Industrial Engineering
Modelling nonlinear count time series with local mixtures of Poisson autoregressions
Computational Statistics & Data Analysis
Expert Systems with Applications: An International Journal
A novel hybrid algorithm for function approximation
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A knowledge management system for series-parallel availability optimization and design
Expert Systems with Applications: An International Journal
A hybrid genetic algorithm for the re-entrant flow-shop scheduling problem
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Genetic algorithms for approximate similarity queries
Data & Knowledge Engineering
Pultrusion manufacturing process development: Cure optimization by hybrid computational methods
Computers & Mathematics with Applications
Hardware-oriented ant colony optimization
Journal of Systems Architecture: the EUROMICRO Journal
A Monotonic Archive for Pareto-Coevolution
Evolutionary Computation
Heuristic Search for the Generalized Minimum Spanning Tree Problem
INFORMS Journal on Computing
Optimization in Object Caching
INFORMS Journal on Computing
Vehicle Routing Problem with Time Windows, Part II: Metaheuristics
Transportation Science
A novel population initialization method for accelerating evolutionary algorithms
Computers & Mathematics with Applications
Cubic Bézier approximation of a digitized curve
Pattern Recognition
Programmed Search in a Timetabling Problem over Finite Domains
Electronic Notes in Theoretical Computer Science (ENTCS)
An integrated Petri net and GA based approach for scheduling of hybrid plants
Computers in Industry
Guided local search as a network planning algorithm that incorporates uncertain traffic demands
Computer Networks: The International Journal of Computer and Telecommunications Networking
Evolutionary Function Approximation for Reinforcement Learning
The Journal of Machine Learning Research
Data Mining Static Code Attributes to Learn Defect Predictors
IEEE Transactions on Software Engineering
Power model validation through thermal measurements
Proceedings of the 34th annual international symposium on Computer architecture
Efficient Response Time Predictions by Exploiting Application and Resource State Similarities
GRID '05 Proceedings of the 6th IEEE/ACM International Workshop on Grid Computing
IEEE Transactions on Visualization and Computer Graphics
Architecture-Based Software Reliability Analysis: Overview and Limitations
IEEE Transactions on Dependable and Secure Computing
Optimizing thermal design of data center cabinets with a new multi-objective genetic algorithm
Distributed and Parallel Databases
A hybrid method for solving multi-objective global optimization problems
Journal of Global Optimization
Improving crossover operator for real-coded genetic algorithms using virtual parents
Journal of Heuristics
Computer Methods and Programs in Biomedicine
Engineering Applications of Artificial Intelligence
An efficient algorithm for large scale global optimization of continuous functions
Journal of Computational and Applied Mathematics
Knowledge acquisition for adaptive game AI
Science of Computer Programming
Application of adaptive control to the fluctuation of engine speed at idle
Information Sciences: an International Journal
Interactive music composition with the CFE framework
ACM SIGEVOlution
Generalization in the XCSF Classifier System: Analysis, Improvement, and Extension
Evolutionary Computation
A class of possibilistic portfolio selection model with interval coefficients and its application
Fuzzy Optimization and Decision Making
Evolving evolutionary algorithms using evolutionary algorithms
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Crossover: the divine afflatus in search
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Evolutionary music composer integrating formal grammar
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Evolved transforms surpass the FBI wavelet for improved fingerprint compression and reconstruction
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Simulations of egoistic and altruistic behaviors using the vidya multiagent system platform
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
A novel approach to automatic music transcription using electronic synthesis and genetic algorithms
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
MILCS: a mutual information learning classifier system
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Genetically programmed learning classifier system description and results
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
How genetic algorithms can improve a pacemaker efficiency
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Observing the swarm behaviour during its evolutionary design
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
GAINS: genetic algorithms for increasing net sales of a mobile reverse demand communication system
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Bayesian training of neural networks using genetic programming
Pattern Recognition Letters
Modelling of electrostatic fluidized bed (EFB) coating process using artificial neural networks
Engineering Applications of Artificial Intelligence
Machine-learning paradigms for selecting ecologically significant input variables
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Genetic algorithms in agent-based manufacturing scheduling systems
Integrated Computer-Aided Engineering
Dynamic manufacturing scheduling using both functional and resource related agents
Integrated Computer-Aided Engineering
A New Optimization Design Methodology Of Locomotive Transformers
Journal of Integrated Design & Process Science
Mining performance data for metascheduling decision support in the grid
Future Generation Computer Systems - Special section: Data mining in grid computing environments
A review on evolution of production scheduling with neural networks
Computers and Industrial Engineering
Short Communication: Fuzzy multiobjective optimization of truss-structures using genetic algorithm
Advances in Engineering Software
Geometric particle swarm optimization for the sudoku puzzle
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Using group selection to evolve leadership in populations of self-replicating digital organisms
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A multi-objective approach to discover biclusters in microarray data
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Adaptive variance scaling in continuous multi-objective estimation-of-distribution algorithms
Proceedings of the 9th annual conference on Genetic and evolutionary computation
ECGA vs. BOA in discovering stock market trading experts
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Hybrid evolutionary algorithms on minimum vertex cover for random graphs
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Towards billion-bit optimization via a parallel estimation of distribution algorithm
Proceedings of the 9th annual conference on Genetic and evolutionary computation
An application of EDA and GA to dynamic pricing
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A hybrid evolutionary programming algorithm for spread spectrum radar polyphase codes design
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Techniques for highly multiobjective optimisation: some nondominated points are better than others
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
GARS: an improved genetic algorithm with reserve selection for global optimization
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Improving global numerical optimization using a search-space reduction algorithm
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Learning building block structure from crossover failure
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Variable discrimination of crossover versus mutation using parameterized modular structure
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A gestalt genetic algorithm: less details for better search
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A genetic algorithm for privacy preserving combinatorial optimization
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Analysis of noisy time-series signals with GA involving viral infection with tropism
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A building-block royal road where crossover is provably essential
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Parallel genetic algorithm: assessment of performance in multidimensional scaling
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Mixing independent classifiers
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Genetic evolution of hierarchical behavior structures
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Towards an optimal restart strategy for genetic programming
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Modeling selection pressure in XCS for proportionate and tournament selection
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Electronic synthesis using genetic algorithms for automatic music transcription
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Genetic optimization for yacht design
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Characteristic determination for solid state devices with evolutionary computation: a case study
Proceedings of the 9th annual conference on Genetic and evolutionary computation
An evolutionary approach to collective communication scheduling
Proceedings of the 9th annual conference on Genetic and evolutionary computation
High quality offset printing: an evolutionary approach
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
An evolutionary keystroke authentication based on ellipsoidal hypothesis space
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
ICSPEA: evolutionary five-axis milling path optimisation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A destructive evolutionary process: a pilot implementation
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Comparing two models to generate hyper-heuristics for the 2d-regular bin-packing problem
Proceedings of the 9th annual conference on Genetic and evolutionary computation
On the design of optimisers for surface reconstruction
Proceedings of the 9th annual conference on Genetic and evolutionary computation
A genetic algorithm for resident physician scheduling problem
Proceedings of the 9th annual conference on Genetic and evolutionary computation
Automatic concept model generation for optimisation and robust design of passenger cars
Advances in Engineering Software
Interleaved turbo codes protection for progressive image transmission with efficient rate allocation
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
ServiceFinder: A method towards enhancing service portals
ACM Transactions on Information Systems (TOIS)
Fuzzy classifier design using genetic algorithms
Pattern Recognition
Computer Methods and Programs in Biomedicine
Environmental Modelling & Software
Short communication: A generic tool for optimising land-use patterns and landscape structures
Environmental Modelling & Software
Computers in Biology and Medicine
Tuning range image segmentation by genetic algorithm
EURASIP Journal on Applied Signal Processing
EURASIP Journal on Applied Signal Processing
A comparison of evolutionary algorithms for tracking time-varying recursive systems
EURASIP Journal on Applied Signal Processing
EURASIP Journal on Wireless Communications and Networking
Optimal and approximate approaches for deployment of heterogeneous sensing devices
EURASIP Journal on Wireless Communications and Networking
Optimized polygonal approximation by dominant point deletion
Pattern Recognition
Novel Directional Radiation Pattern by Genetic Algorithms in Indoor Wireless Local Loop
Wireless Personal Communications: An International Journal
A self-organizing random immigrants genetic algorithm for dynamic optimization problems
Genetic Programming and Evolvable Machines
A comparative study of stochastic optimization methods in electric motor design
Applied Intelligence
EURASIP Journal on Applied Signal Processing
Feature selection and blind source separation in an EEG-based brain-computer interface
EURASIP Journal on Applied Signal Processing
Computers and Operations Research
Lot sizing and furnace scheduling in small foundries
Computers and Operations Research
MASSP3: a system for predicting protein secondary structure
EURASIP Journal on Applied Signal Processing
Artificial Life
Bearing fault detection using artificial neural networks and genetic algorithm
EURASIP Journal on Applied Signal Processing
Correction of misclassifications using a proximity-based estimation method
EURASIP Journal on Applied Signal Processing
A Method for Generation of Alternatives by Decision Support Systems
Journal of Management Information Systems
Applying evolutionary computation to the school timetabling problem: The Greek case
Computers and Operations Research
Design of electronically steerable linear arrays with evolutionary algorithms
Applied Soft Computing
A new field balancing method of rotor systems based on holospectrum and genetic algorithm
Applied Soft Computing
Genetic algorithm with ant colony optimization (GA-ACO) for multiple sequence alignment
Applied Soft Computing
Multiobjective optimization using variable complexity modelling for control system design
Applied Soft Computing
A hybrid watermarking technique applied to digital images
Applied Soft Computing
Structured synthesis of MEMS using evolutionary approaches
Applied Soft Computing
AORCEA - An adaptive operator rate controlled evolutionary algorithm
Computers and Structures
Particle swarm approach for structural design optimization
Computers and Structures
An intelligent method for modulation type identification
Mobility '06 Proceedings of the 3rd international conference on Mobile technology, applications & systems
Improving random test sets using the diversity oriented test data generation
Proceedings of the 2nd international workshop on Random testing: co-located with the 22nd IEEE/ACM International Conference on Automated Software Engineering (ASE 2007)
Optimal parameters for search using a barrier tree Markov model
Theoretical Computer Science
On efficient deployment of sensors on planar grid
Computer Communications
Selecting representative examples and attributes by a genetic algorithm
Intelligent Data Analysis
Prototype induction and attribute selection via evolutionary algorithms
Intelligent Data Analysis
A genetic algorithm for cluster analysis
Intelligent Data Analysis
Classification and filtering of spectra: A case study in mineralogy
Intelligent Data Analysis
Genetic learner: Discretization and fuzzification of numerical attributes
Intelligent Data Analysis
A coevolutionary genetic algorithm using fuzzy clustering
Intelligent Data Analysis
Intelligent Data Analysis
Efficient interval partitioning-Local search collaboration for constraint satisfaction
Computers and Operations Research
Cell suppression problem: A genetic-based approach
Computers and Operations Research
Adaptive fuzzy modeling versus artificial neural networks
Environmental Modelling & Software
GFAM: Evolving Fuzzy ARTMAP neural networks
Neural Networks
Improving RPNI algorithm using minimal message length
AIAP'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: artificial intelligence and applications
Genetic algorithm-based method for printer scheduling in ubiquitous computing
AIAP'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: artificial intelligence and applications
Minimizing leakage: what if every gate could have its individual threshold voltage?
AIAP'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: artificial intelligence and applications
Adaptive fuzzy model of operator functional state in human-machine system: a preliminary study
BIEN '07 Proceedings of the fifth IASTED International Conference: biomedical engineering
Dynamic identification of inelastic shear frames by using Prandtl-Ishlinskii model
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
Non parametric identifier for Parkinson's disease dynamics by fuzzy-genetic controller
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
GA based optimization of manufacturing cycle cost
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
Evolutionary algorithms for scheduling m-machine flow shop with lot streaming
Robotics and Computer-Integrated Manufacturing
Artificial life techniques for load balancing in computational grids
Journal of Computer and System Sciences
A genetic algorithm that exchanges neighboring centers for k-means clustering
Pattern Recognition Letters
Generative learning of visual concepts using multiobjective genetic programming
Pattern Recognition Letters
Local search optimisation applied to the minimum distance problem
Advanced Engineering Informatics
Introducing assignment functions to Bayesian optimization algorithms
Information Sciences: an International Journal
Computers and Industrial Engineering
Companion to the 22nd ACM SIGPLAN conference on Object-oriented programming systems and applications companion
Genetic local search for multicast routing with pre-processing by logarithmic simulated annealing
Computers and Operations Research
Comparison between two coevolutionary feature weighting algorithms in clustering
Pattern Recognition
A novel fuzzy classifier based on product aggregation operator
Pattern Recognition
Computational steering of a multi-objective evolutionary algorithm for engineering design
Engineering Applications of Artificial Intelligence
Brief paper: A swarm intelligence approach to the synthesis of two-dimensional IIR filters
Engineering Applications of Artificial Intelligence
Genetic evolutionary algorithm for static traffic grooming to SONET over WDM optical networks
Computer Communications
Classification tree analysis using TARGET
Computational Statistics & Data Analysis
Optimal security hardening using multi-objective optimization on attack tree models of networks
Proceedings of the 14th ACM conference on Computer and communications security
Evolving artificial cell signaling networks using molecular classifier systems
Proceedings of the 1st international conference on Bio inspired models of network, information and computing systems
Digital ecosystems: evolving service-orientated architectures
Proceedings of the 1st international conference on Bio inspired models of network, information and computing systems
An evolutive algorithm for wind farm optimal design
Neurocomputing
Design and analysis of direct-action CMAC PID controller
Neurocomputing
Column and batch reactive transport experiment parameter estimation using a genetic algorithm
Computers & Geosciences
IVVI: Intelligent vehicle based on visual information
Robotics and Autonomous Systems
A privacy-preserving index for range queries
VLDB '04 Proceedings of the Thirtieth international conference on Very large data bases - Volume 30
Multiorder neurons for evolutionary higher-order clustering and growth
Neural Computation
A search-based approach for dynamically re-packaging downloadable applications
CASCON '07 Proceedings of the 2007 conference of the center for advanced studies on Collaborative research
CASCON '07 Proceedings of the 2007 conference of the center for advanced studies on Collaborative research
Nighthawk: a two-level genetic-random unit test data generator
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
A novel optimization approach for minimum cost design of trusses
Computers and Structures
Routing optimization in IP networks utilizing additive and concave link metrics
IEEE/ACM Transactions on Networking (TON)
Evolving parameters of multi-scale radial basis function kernels for support vector machines
ACST'07 Proceedings of the third conference on IASTED International Conference: Advances in Computer Science and Technology
Adaptive extremal optimization by detrended fluctuation analysis
Journal of Computational Physics
A hierarchical genetic algorithm for segmentation of multi-spectral human-brain MRI
Expert Systems with Applications: An International Journal
The inventory management system for automobile spare parts in a central warehouse
Expert Systems with Applications: An International Journal
A model for parameter setting based on Bayesian networks
Engineering Applications of Artificial Intelligence
Design of fuzzy power system stabilizer using adaptive evolutionary algorithm
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
International Journal of Approximate Reasoning
Integrated multiobjective optimization and a priori preferences using genetic algorithms
Information Sciences: an International Journal
Inter-autonomous system provisioning for end-to-end bandwidth guarantees
Computer Communications
Meta-heuristics for stable scheduling on a single machine
Computers and Operations Research
A comparison of automation techniques for optimization of compressor scheduling
Advances in Engineering Software
Implementation and performance evaluation of the parallel CORBA application on computational grids
Advances in Engineering Software
Computers and Electronics in Agriculture
Multi-criteria optimization in nonlinear predictive control
Mathematics and Computers in Simulation
Choquet integral for criteria aggregation in the flexible job-shop scheduling problems
Mathematics and Computers in Simulation
Comparison-based algorithms are robust and randomized algorithms are anytime
Evolutionary Computation
An overview of evolutionary algorithms for parameter optimization
Evolutionary Computation
Predictive models for the breeder genetic algorithm i. continuous parameter optimization
Evolutionary Computation
A hierarchy of evolution programs: An experimental study
Evolutionary Computation
A sequential niche technique for multimodal function optimization
Evolutionary Computation
Searching for diverse, cooperative populations with genetic algorithms
Evolutionary Computation
Using genetic algorithms to explore pattern recognition in the immune system
Evolutionary Computation
Adding learning to the cellular development of neural networks: Evolution and the baldwin effect
Evolutionary Computation
A markov chain framework for the simple genetic algorithm
Evolutionary Computation
A genetic algorithm for channel routing in vlsi circuits
Evolutionary Computation
The science of breeding and its application to the breeder genetic algorithm (bga)
Evolutionary Computation
Massively parallel simulated annealing and its relation to evolutionary algorithms
Evolutionary Computation
Zcs: A zeroth level classifier system
Evolutionary Computation
Implicit niching in a learning classifier system: Nature's way
Evolutionary Computation
Scheduling of genetic algorithms in a noisy environment
Evolutionary Computation
A new representation and operators for genetic algorithms applied to grouping problems
Evolutionary Computation
Memory exploitation in learning classifier systems
Evolutionary Computation
Muiltiobjective optimization using nondominated sorting in genetic algorithms
Evolutionary Computation
Changing representations during search: A comparative study of delta coding
Evolutionary Computation
Mutation-crossover isomorphisms and the construction of discriminating functions
Evolutionary Computation
An overview of evolutionary algorithms in multiobjective optimization
Evolutionary Computation
Balancing accuracy and parsimony in genetic programming
Evolutionary Computation
Genetic algorithms as global random search methods: An alternative perspective
Evolutionary Computation
Toward a theory of evolution strategies: On the benefits of sex---the (μ/μ, λ) theory
Evolutionary Computation
Empirical studies of the genetic algorithm with noncoding segments
Evolutionary Computation
Adaptive learning of process control and profit optimization using a classifier system
Evolutionary Computation
Tackling car sequencing problems using a generic genetic algorithm
Evolutionary Computation
Analysis of selection algorithms: A markov chain approach
Evolutionary Computation
Modeling the evolution of motivation
Evolutionary Computation
Evolutionary Computation
Some comments on evolutionary algorithm theory
Evolutionary Computation
Empirical investigation of the benefits of partial lamarckianism
Evolutionary Computation
Forking genetic algorithms: Gas with search space division schemes
Evolutionary Computation
Evolutionary program induction directed by logic grammars
Evolutionary Computation
Implicit representation in genetic algorithms using redundancy
Evolutionary Computation
Forming neural networks through efficient and adaptive coevolution
Evolutionary Computation
Evolutionary consequences of coevolving targets
Evolutionary Computation
Optimization of road networks using evolutionary strategies
Evolutionary Computation
Time scheduling of transit systems with transfer considerations using genetic algorithms
Evolutionary Computation
Adapting operator settings in genetic algorithms
Evolutionary Computation
Dna computation: Theory, practice, and prospects
Evolutionary Computation
Schema theory for genetic programming with one-point crossover and point mutation
Evolutionary Computation
Collective adaptation: The exchange of coding segments
Evolutionary Computation
Ptgas---genetic algorithms evolving noncoding segments by means of promoter/terminator sequences
Evolutionary Computation
An evolutionary algorithm for interval solid transportation problems
Evolutionary Computation
Schemata evolution and building blocks
Evolutionary Computation
Rigorous hitting times for binary mutations
Evolutionary Computation
Multi-objective genetic algorithms: Problem difficulties and construction of test problems
Evolutionary Computation
The gambler's ruin problem, genetic algorithms, and the sizing of populations
Evolutionary Computation
Crossover accelerates evolution in gas with a babel-like fitness landscape: Mathematical analyses
Evolutionary Computation
Scheduling of water distribution system rehabilitation using structured messy genetic algorithms
Evolutionary Computation
Scalability problems of simple genetic algorithms
Evolutionary Computation
An evolutionary computing approach to probabilistic reasoning on bayesian networks
Evolutionary Computation
A hybrid genetic algorithm and particle swarm optimization for multimodal functions
Applied Soft Computing
Opposition versus randomness in soft computing techniques
Applied Soft Computing
An evolutionary compensatory negotiation model for distributed dynamic scheduling
Applied Soft Computing
Crack detection in beam-like structures using genetic algorithms
Applied Soft Computing
A group recommendation system with consideration of interactions among group members
Expert Systems with Applications: An International Journal
Evolutionary selection of model for time constrained decision problems: A GA approach
Expert Systems with Applications: An International Journal
Optimizing back-propagation networks via a calibrated heuristic algorithm with an orthogonal array
Expert Systems with Applications: An International Journal
Socially embedded multi agent based simulation of financial market
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Computer Vision and Image Understanding
People search: Searching people sharing similar interests from the Web
Journal of the American Society for Information Science and Technology
Evolution of Signaling in a Multi-Robot System: Categorization and Communication
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Robustness in multi-objective optimization using evolutionary algorithms
Computational Optimization and Applications
A robust blind sparse source separation algorithm using genetic algorithm to identify mixing matrix
SPPR'07 Proceedings of the Fourth conference on IASTED International Conference: Signal Processing, Pattern Recognition, and Applications
Text-dependent speaker verification using genetic algorithm and competitive learning neural network
SPPR'07 Proceedings of the Fourth conference on IASTED International Conference: Signal Processing, Pattern Recognition, and Applications
Guilt-by-association feature selection: Identifying biomarkers from proteomic profiles
Journal of Biomedical Informatics
Hybrid fuzzy-mechanistic models for addressing parameter variability
Environmental Modelling & Software
Highly accurate error-driven method for noun phrase detection
Pattern Recognition Letters
Neural-Based Learning Classifier Systems
IEEE Transactions on Knowledge and Data Engineering
Watermarking Relational Databases Using Optimization-Based Techniques
IEEE Transactions on Knowledge and Data Engineering
ML-PDA: Advances and a new multitarget approach
EURASIP Journal on Advances in Signal Processing
Computational Intelligence and Neuroscience - Brain-Computer Interfaces: Towards Practical Implementations and Potential Applications
Computational Optimization and Applications
Optimal tuning of PI coefficients by using fuzzy-genetic for V/f controlled induction motor
Expert Systems with Applications: An International Journal
Tissue segmentation in ultrasound images by using genetic algorithms
Expert Systems with Applications: An International Journal
Design of BOM configuration for reducing spare parts logistic costs
Expert Systems with Applications: An International Journal
Genetic optimization of GRNN for pattern recognition without feature extraction
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Survivable and delay-guaranteed backbone wireless mesh network design
Journal of Parallel and Distributed Computing
Computers & Mathematics with Applications
Viral systems: A new bio-inspired optimisation approach
Computers and Operations Research
Design of an adaptive mutation operator in an electrical load management case study
Computers and Operations Research
Structural reliability analysis using Monte Carlo simulation and neural networks
Advances in Engineering Software
Modeling and optimization of stencil printing operations: A comparison study
Computers and Industrial Engineering
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Sporadic model building for efficiency enhancement of the hierarchical BOA
Genetic Programming and Evolvable Machines
Simulation of phase combinations in shape memory alloys patches by hybrid optimization methods
Applied Numerical Mathematics
Dominant point detection by reverse polygonization of digital curves
Image and Vision Computing
Multi-criteria scheduling optimization with genetic algorithms
EC'07 Proceedings of the 8th Conference on 8th WSEAS International Conference on Evolutionary Computing - Volume 8
A knowledge-based genetic algorithm for the job shop scheduling problem
AIKED'07 Proceedings of the 6th Conference on 6th WSEAS Int. Conf. on Artificial Intelligence, Knowledge Engineering and Data Bases - Volume 6
Mutual Information-Based 3D Object Tracking
International Journal of Computer Vision
Detecting buffer overflow via automatic test input data generation
Computers and Operations Research
A tabu search algorithm for structural software testing
Computers and Operations Research
GA-based multiple paths test data generator
Computers and Operations Research
Computational Statistics & Data Analysis
Recovering the 3D shape and poses of face images based on the similarity transform
Pattern Recognition Letters
Plastic injection mould cooling system design by the configuration space method
Computer-Aided Design
Neural Computation
Non-uniform cellular automata based associative memory: Evolutionary design and basins of attraction
Information Sciences: an International Journal
Environmental Modelling & Software
Performance of nonlinear degrading structures: Identification, validation, and prediction
Computers and Structures
FE model updating using artificial boundary conditions with genetic algorithms
Computers and Structures
Visualization techniques utilizing the sensitivity analysis of models
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
Optimal scheduling of probabilistic repetitive projects using completed unit and genetic algorithms
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
IFAO-SIMO: a spatial-simulation based facility network optimization framework
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
A symbol-based intelligent control system with self-exploration process
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Load distribution of analytical query workloads for database cluster architectures
EDBT '08 Proceedings of the 11th international conference on Extending database technology: Advances in database technology
Optimizing on-demand data broadcast scheduling in pervasive environments
EDBT '08 Proceedings of the 11th international conference on Extending database technology: Advances in database technology
An experimental study of four typical test suite reduction techniques
Information and Software Technology
An approach to efficient planning with numerical fluents and multi-criteria plan quality
Artificial Intelligence
Multi-objective genetic algorithm for single machine scheduling problem under fuzziness
Fuzzy Optimization and Decision Making
Heuristic solutions to resource allocation in grid computing: a natural approach
The Journal of Supercomputing
Analog Integrated Circuits and Signal Processing
Evolutionary colourisation of greyscale images
International Journal of Advanced Media and Communication
A clustering algorithm based on an estimated distribution model
International Journal of Business Intelligence and Data Mining
Web process and workflow path mining using the Multimethod approach
International Journal of Business Intelligence and Data Mining
Ridge regression based hybrid genetic algorithms for multi-locus quantitative trait mapping
International Journal of Bioinformatics Research and Applications
Structural Risk Minimisation based gene expression profiling analysis
International Journal of Bioinformatics Research and Applications
Space-planning by ant colony optimisation
International Journal of Computer Applications in Technology
International Journal of Computer Applications in Technology
A genetic algorithm based process planning system for mould base
International Journal of Computer Applications in Technology
Computation tools for the combat of cardiovascular heart disease
International Journal of Computer Applications in Technology
Use of artificial intelligence for the optimal design of solar systems
International Journal of Computer Applications in Technology
Discrete parameter-nonlinear constrained optimisation of a gear train using genetic algorithms
International Journal of Computer Applications in Technology
International Journal of Computer Applications in Technology
International Journal of Computer Applications in Technology
Semi-deterministic versus genetic algorithms for global optimisation of multichannel optical filters
International Journal of Computational Science and Engineering
Solving the Dynamic Plant Layout Problem using a new hybrid meta-heuristic algorithm
International Journal of High Performance Computing and Networking
Improve the efficiency of traditional scheduling system with GA-Petri net model
International Journal of Computer Applications in Technology
Improving gateway safety in wireless sensor networks using cognitive techniques
International Journal of Sensor Networks
Self-organisation of sensor networks using genetic algorithms
International Journal of Sensor Networks
A genetic-based hybrid approach to corporate failure prediction
International Journal of Electronic Finance
Evolutionary design and applications of hybrid intelligent systems
International Journal of Innovative Computing and Applications
Particle swarm optimisation enhancement approach for improving image quality
International Journal of Innovative Computing and Applications
Online modelling based on Genetic Programming
International Journal of Intelligent Systems Technologies and Applications
Mining manufacturing data using genetic algorithm-based feature set decomposition
International Journal of Intelligent Systems Technologies and Applications
A modified tabu search strategy for multiple-response grinding process optimisation
International Journal of Intelligent Systems Technologies and Applications
GA-based decision support systems in production scheduling
International Journal of Intelligent Systems Technologies and Applications
Site selecting algorithms for Nodes B
International Journal of Mobile Network Design and Innovation
Computers and Industrial Engineering
Computers and Industrial Engineering
Optimal vs. heuristic integrated code generation for clustered VLIW architectures
SCOPES '08 Proceedings of the 11th international workshop on Software & compilers for embedded systems
Development of metamodeling based optimization system for high nonlinear engineering problems
Advances in Engineering Software
Design of reinforced concrete bridge frames by heuristic optimization
Advances in Engineering Software
A tabu search approach for the minimum sum-of-squares clustering problem
Information Sciences: an International Journal
Genetic algorithms for data-driven web question answering
Evolutionary Computation
A genetic algorithms approach to modeling the performance of memory-bound computations
Proceedings of the 2007 ACM/IEEE conference on Supercomputing
Proceedings of the 2007 ACM/IEEE conference on Supercomputing
A fast evolutionary algorithm in codebook design
AIKED'05 Proceedings of the 4th WSEAS International Conference on Artificial Intelligence, Knowledge Engineering Data Bases
AIKED'05 Proceedings of the 4th WSEAS International Conference on Artificial Intelligence, Knowledge Engineering Data Bases
Learning from noise data with the help of logic programming systems
AIKED'05 Proceedings of the 4th WSEAS International Conference on Artificial Intelligence, Knowledge Engineering Data Bases
Automatic test data generation using particle systems
Proceedings of the 2008 ACM symposium on Applied computing
Genetic algorithm in grid scheduling with multiple objectives
AIKED'06 Proceedings of the 5th WSEAS International Conference on Artificial Intelligence, Knowledge Engineering and Data Bases
Genetic algorithm based approach for power generation dispatch with emission constraints
IMCAS'07 Proceedings of the 6th WSEAS International Conference on Instrumentation, Measurement, Circuits and Systems
Solving the shortest path problem in vehicle navigation system by ant colony algorithm
ISCGAV'07 Proceedings of the 7th WSEAS International Conference on Signal Processing, Computational Geometry & Artificial Vision
An application of genetic algorithm for auto-body panel die-design case library based on grid
SEPADS'06 Proceedings of the 5th WSEAS International Conference on Software Engineering, Parallel and Distributed Systems
A genetic algorithm for solving the first price sealed bid auction in communication networks
EHAC'06 Proceedings of the 5th WSEAS International Conference on Electronics, Hardware, Wireless and Optical Communications
The hybrid genetic fuzzy C-means: a reasoned implementation
FS'06 Proceedings of the 7th WSEAS International Conference on Fuzzy Systems
Intelligent digital modulation type identifier
NN'06 Proceedings of the 7th WSEAS International Conference on Neural Networks
Invariant shape object recognition using B-spline, cardinal spline and genetic algorithm
ISPRA'06 Proceedings of the 5th WSEAS International Conference on Signal Processing, Robotics and Automation
Evolutionary model-based pose estimation for variable configuration objects
ISPRA'06 Proceedings of the 5th WSEAS International Conference on Signal Processing, Robotics and Automation
Extending MATLAB and GA to solve job shop manufacturing scheduling problems
ISPRA'06 Proceedings of the 5th WSEAS International Conference on Signal Processing, Robotics and Automation
RBFFCA: A Hybrid Pattern Classifier Using Radial Basis Function and Fuzzy Cellular Automata
Fundamenta Informaticae - Special issue on DLT'04
A new algorithm for term weighting in text summarization process
AIC'06 Proceedings of the 6th WSEAS International Conference on Applied Informatics and Communications
WAMUS'05 Proceedings of the 5th WSEAS International Conference on Wavelet Analysis and Multirate Systems
Improving technical trading systems by using a new MATLAB based genetic algorithm procedure
NOLASC'05 Proceedings of the 4th WSEAS International Conference on Non-linear Analysis, Non-linear Systems and Chaos
Using grammatical evolution for evolving intrusion detection rules
ISP'06 Proceedings of the 5th WSEAS International Conference on Information Security and Privacy
Neural networks learning methods for image processing applications
ESPOCO'05 Proceedings of the 4th WSEAS International Conference on Electronic, Signal Processing and Control
Genetic algorithms for solving scheduling problems in flexible manufacturing cells
ESPOCO'05 Proceedings of the 4th WSEAS International Conference on Electronic, Signal Processing and Control
Congestion relief via intelligent coordination of TCSC & SVC
MMACTE'05 Proceedings of the 7th WSEAS International Conference on Mathematical Methods and Computational Techniques In Electrical Engineering
World solar challenge: the race strategy explained
ACM SIGEVOlution
Genetic rule selection with a multi-classifier coding scheme for ensemble classifier design
International Journal of Hybrid Intelligent Systems - Hybridization of Intelligent Systems
International Journal of Hybrid Intelligent Systems - Hybridization of Intelligent Systems
International Journal of Computer Applications in Technology
Supply chain optimisation using evolutionary algorithms
International Journal of Computer Applications in Technology
Solving the platform selection problem using an evolutionary algorithm
International Journal of Computer Applications in Technology
International Journal of Computer Applications in Technology
An intelligent systems framework for prototyping tactical driving decisions
International Journal of Intelligent Systems Technologies and Applications
Computers and Electronics in Agriculture
A new genetic algorithm in proteomics: Feature selection for SELDI-TOF data
Computational Statistics & Data Analysis
An overview of clustering methods
Intelligent Data Analysis
A comprehensive analysis of hyper-heuristics
Intelligent Data Analysis
A hybrid intelligent approach for output projection in a semiconductor fabrication plant
Intelligent Data Analysis
Identification of fuzzy systems by means of genetic optimization and data granulation
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Information granulation as a basis of fuzzy modeling
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Portfolio selection with fuzzy returns
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Genetic fuzzy classification fusion of multiple SVMs for biomedical data
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Evolutionary computation in bioinformatics
Hybrid-genetic algorithms for flexible ligand docking
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Evolutionary computation in bioinformatics
A symbiotic genetic algorithm with local-and-global mapping search for reinforcement fuzzy control
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Evolutionary techniques in circuit design and optimization
SMO'06 Proceedings of the 6th WSEAS International Conference on Simulation, Modelling and Optimization
SMO'06 Proceedings of the 6th WSEAS International Conference on Simulation, Modelling and Optimization
A parameter-less evolution strategy for global optimization
SMO'06 Proceedings of the 6th WSEAS International Conference on Simulation, Modelling and Optimization
IND-NIMBUS software for multiobjective optimization
SMO'06 Proceedings of the 6th WSEAS International Conference on Simulation, Modelling and Optimization
Control of torque ripple for SRM using intelligent system
ELECTRO'06 Proceedings of the 4th WSEAS International Conference on Electromagnetics, Wireless and Optical Communications
Multiobjective antenna array design using the method of genetic algorithms
ICCOM'05 Proceedings of the 9th WSEAS International Conference on Communications
Quality-driven model-based architecture synthesis for real-time embedded SoCs
Journal of Systems Architecture: the EUROMICRO Journal
Mean-semivariance models for fuzzy portfolio selection
Journal of Computational and Applied Mathematics
Journal of Global Optimization
Evolution of Agent, Remotely Operating a Scale Model of a Car Through a Latent Video Feedback
Journal of Intelligent and Robotic Systems
Design of 2-D FIR narrow transition band filters by double transformations using GA approach
Multidimensional Systems and Signal Processing
To combine steady-state genetic algorithm and ensemble learning for data clustering
Pattern Recognition Letters
Artificial Intelligence techniques: An introduction to their use for modelling environmental systems
Mathematics and Computers in Simulation
LQG/LIR controller with fault detection method based on genetic algorithms
ISTASC'05 Proceedings of the 5th WSEAS/IASME International Conference on Systems Theory and Scientific Computation
Model reduction of uncertain discrete systems having an interval structure using genetic algorithms
ISTASC'05 Proceedings of the 5th WSEAS/IASME International Conference on Systems Theory and Scientific Computation
Artificial cloning applied to industrial process control
ICS'05 Proceedings of the 9th WSEAS International Conference on Systems
Solution of load-flow problem using fuzzy linear regression approach
CISST'08 Proceedings of the 2nd WSEAS International Conference on Circuits, Systems, Signal and Telecommunications
AMCOS'05 Proceedings of the 4th WSEAS International Conference on Applied Mathematics and Computer Science
Quantum-evolutionary algorithms: a SW-HW approach
CIMMACS'06 Proceedings of the 5th WSEAS International Conference on Computational Intelligence, Man-Machine Systems and Cybernetics
CIMMACS'06 Proceedings of the 5th WSEAS International Conference on Computational Intelligence, Man-Machine Systems and Cybernetics
Hybrid evolutionary built decision trees for prediction of perspective cross-country skiers
AIC'04 Proceedings of the 4th WSEAS International Conference on Applied Informatics and Communications
Gaining features in medicine using multimethod data-mining powerful techniques: a case study
AIC'04 Proceedings of the 4th WSEAS International Conference on Applied Informatics and Communications
Optimal query complexity bounds for finding graphs
STOC '08 Proceedings of the fortieth annual ACM symposium on Theory of computing
On the application of linear transformations for genetic algorithms optimization
International Journal of Knowledge-based and Intelligent Engineering Systems
Function based hybrid-fuzzy genetic controller for VSI based STATCOM
International Journal of Knowledge-based and Intelligent Engineering Systems
Evolutionary approach to combined multiple models tuning
International Journal of Knowledge-based and Intelligent Engineering Systems - Extended papers selected from KES-2006
An approach of cluster validity on Gabor wavelet based adaptive face recognition
International Journal of Knowledge-based and Intelligent Engineering Systems - Extended papers selected from KES-2006
Co-evolution of terrorist and security scenarios for water distribution systems
Advances in Engineering Software
Engineering Applications of Artificial Intelligence
A hybrid genetic algorithm for the multi-depot vehicle routing problem
Engineering Applications of Artificial Intelligence
Intelligent digital signal-type identification
Engineering Applications of Artificial Intelligence
Optimization of markers in clothing industry
Engineering Applications of Artificial Intelligence
Iterative optimization in the polyhedral model: part ii, multidimensional time
Proceedings of the 2008 ACM SIGPLAN conference on Programming language design and implementation
Machine Graphics & Vision International Journal
Genetic filters for video noise reduction
Machine Graphics & Vision International Journal
Fast adaptive wavelet for remote sensing image compression
Journal of Computer Science and Technology
Automatic weight selection for H∞ controller synthesis
International Journal of Systems Science
Using grammatical evolution for evolving intrusion detection rules
CSECS'06 Proceedings of the 5th WSEAS International Conference on Circuits, Systems, Electronics, Control & Signal Processing
Digital filter design of IIR filters using real valued genetic algorithm
ICECS'03 Proceedings of the 2nd WSEAS International Conference on Electronics, Control and Signal Processing
Immunity based genetic algorithm for solving quadratic assignment problem (QAP)
ICECS'03 Proceedings of the 2nd WSEAS International Conference on Electronics, Control and Signal Processing
ISTASC'06 Proceedings of the 6th WSEAS International Conference on Systems Theory & Scientific Computation
ISTASC'06 Proceedings of the 6th WSEAS International Conference on Systems Theory & Scientific Computation
Optimal operational strategy for hybrid renewable energy system using genetic algorithms
MATH'07 Proceedings of the 12th WSEAS International Conference on Applied Mathematics
A decentralized strategy for genetic scheduling in heterogeneous environments
Multiagent and Grid Systems - Grid Computing, high performance and distributed applications
Scientific Programming - Scientific Workflows
Computational Statistics & Data Analysis
Non-dominated Rank based Sorting Genetic Algorithms
Fundamenta Informaticae
Evolved transforms for improved image compression and reconstruction under quantization
SSIP'06 Proceedings of the 6th WSEAS International Conference on Signal, Speech and Image Processing
SSIP'06 Proceedings of the 6th WSEAS International Conference on Signal, Speech and Image Processing
Autonomous agent learning by a creativity optimization
Math'04 Proceedings of the 5th WSEAS International Conference on Applied Mathematics
Design optimization with GA fitness functions based on total lifecycle value or cost
EC'06 Proceedings of the 7th WSEAS International Conference on Evolutionary Computing
PST: a new evolutionary approach to topographic mapping
EC'06 Proceedings of the 7th WSEAS International Conference on Evolutionary Computing
Experiments on cryptanalysing block ciphers via evolutionary computation paradigms
EC'06 Proceedings of the 7th WSEAS International Conference on Evolutionary Computing
Intelligent modulation type identification using GA-SVM based on WPA
EC'06 Proceedings of the 7th WSEAS International Conference on Evolutionary Computing
Robust design of fuzzy logic power system stabilizer using multiobjective genetic algorithm
EC'06 Proceedings of the 7th WSEAS International Conference on Evolutionary Computing
GA based energy loss minimization approach for optimal sizing & placement of distributed generation
International Journal of Knowledge-based and Intelligent Engineering Systems
A random key based genetic algorithm for the resource constrained project scheduling problem
Computers and Operations Research
Prediction of compressive and tensile strength of limestone via genetic programming
Expert Systems with Applications: An International Journal
Optimization design of control charts based on minimax decision criterion and fuzzy process shifts
Expert Systems with Applications: An International Journal
Two stages of case-based reasoning - Integrating genetic algorithm with data mining mechanism
Expert Systems with Applications: An International Journal
Epileptic EEG detection using neural networks and post-classification
Computer Methods and Programs in Biomedicine
Unified eigen analysis on multivariate Gaussian based estimation of distribution algorithms
Information Sciences: an International Journal
On the polytope of non-additive measures
Fuzzy Sets and Systems
Linear phase FIR filter design using particle swarm optimization and genetic algorithms
Digital Signal Processing
Information and Software Technology
Affine invariant matching of broken boundaries based on particle swarm optimization
Image and Vision Computing
Computers and Industrial Engineering
Expert Systems with Applications: An International Journal
A fuzzy inference system for fault detection and isolation: Application to a fluid system
Expert Systems with Applications: An International Journal
Personalised subscription pricing for optimised wireless mesh network deployment
Computer Networks: The International Journal of Computer and Telecommunications Networking
Particle swarm optimization for antenna designs in engineering electromagnetics
Journal of Artificial Evolution and Applications - Particle Swarms: The Second Decade
Geometric particle swarm optimization
Journal of Artificial Evolution and Applications - Particle Swarms: The Second Decade
What else is the evolution of PSO telling us?
Journal of Artificial Evolution and Applications - Particle Swarms: The Second Decade
Journal of Artificial Evolution and Applications - Particle Swarms: The Second Decade
Computers in Biology and Medicine
Hybrid fuzzy logic control with genetic optimisation for a single-link flexible manipulator
Engineering Applications of Artificial Intelligence
The distributed multilevel ant-stigmergy algorithm used at the electric-motor design
Engineering Applications of Artificial Intelligence
Optimum static balancing of an industrial robot mechanism
Engineering Applications of Artificial Intelligence
RRES: a novel approach to the partitioning problem for a typical subset of system graphs
EURASIP Journal on Embedded Systems - Reconfigurable Computing and Hardware/Software Codesign
Journal of Global Optimization
Genetic Programming and Evolvable Machines
Technical market indicators optimization using evolutionary algorithms
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Evolving better satellite image compression and reconstruction transforms
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
A differential evolution algorithm for optimizing signal compression and reconstruction transforms
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Evolving prediction weights using evolution strategy
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
First approach toward on-line evolution of association rules with learning classifier systems
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Analysis of mammography reports using maximum variation sampling
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Multi-objective memetic approach for flexible process sequencing problems
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
A fuzzy-genetic approach to network intrusion detection
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Risk prediction and risk factors identification from imbalanced data with RPMBGA+
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Representations for evolutionary algorithms
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Evolutionary design search, exploration and optimisation
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
On hopeful monsters, neutral networks and junk code in evolving L-systems
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Co-evolution of active sensing and locomotion gaits of simulated snake-like robot
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Structure and parameter estimation for cell systems biology models
Proceedings of the 10th annual conference on Genetic and evolutionary computation
On the effectiveness of distributions estimated by probabilistic model building
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Improving the efficiency of the extended compact genetic algorithm
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Application domain study of evolutionary algorithms in optimization problems
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Performance analysis of derandomized evolution strategies in quantum control experiments
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Hyper-heuristics for the dynamic variable ordering in constraint satisfaction problems
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Graph partitioning through a multi-objective evolutionary algorithm: a preliminary study
Proceedings of the 10th annual conference on Genetic and evolutionary computation
AMGA: an archive-based micro genetic algorithm for multi-objective optimization
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Search space reduction technique for constrained optimization with tiny feasible space
Proceedings of the 10th annual conference on Genetic and evolutionary computation
In search of no-loss strategies for the game of tic-tac-toe using a customized genetic algorithm
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Rigorous analyses of fitness-proportional selection for optimizing linear functions
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Graph-theoretic measure for active iGAs: interaction sizing and parallel evaluation ensemble
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Analysis of estimation of distribution algorithms and genetic algorithms on NK landscapes
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Adapting palettes to color vision deficiencies by genetic algorithm
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Optimal sampling of genetic algorithms on polynomial regression
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Deriving evaluation metrics for applicability of genetic algorithms to optimization problems
Proceedings of the 10th annual conference on Genetic and evolutionary computation
A practical search index and population size analysis based on the building block hypothesis
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Image registration using genetic algorithms
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Using differential evolution for symbolic regression and numerical constant creation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
An analysis of matching in learning classifier systems
Proceedings of the 10th annual conference on Genetic and evolutionary computation
An efficient SVM-GA feature selection model for large healthcare databases
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Genetic local search for rule learning
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
An evolutionary design technique for collective communications on optimal diameter-degree networks
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Using coevolution to understand and validate game balance in continuous games
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Multi-resistant radar jamming using genetic algorithms
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Genetic algorithms and the abc music notation language for rock music composition
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Optimum topological design of simply supported composite stiffened panels via genetic algorithms
Computers and Structures
Visual analytics apporach to user-controlled evacuation scheduling
Information Visualization - Special issue on visual analytics science and technology
MATH'05 Proceedings of the 7th WSEAS International Conference on Applied Mathematics
Computational intelligence and active networks
TELE-INFO'05 Proceedings of the 4th WSEAS International Conference on Telecommunications and Informatics
Topology synthesis of analog circuits based on adaptively generated building blocks
Proceedings of the 45th annual Design Automation Conference
Automatic package and board decoupling capacitor placement using genetic algorithms and M-FDM
Proceedings of the 45th annual Design Automation Conference
International Journal of Distributed Sensor Networks - Heterogenous Wireless Ad Hoc and Sensor Networks
Comparative study of serial and parallel heuristics used to design combinational logic circuits
Optimization Methods & Software
Connection Science - Evolutionary Learning and Optimisation
Connection Science - Evolutionary Learning and Optimisation
Arabic writer identification based on hybrid spectral-statistical measures
Journal of Experimental & Theoretical Artificial Intelligence
A-Brain: a general system for solving data analysis problems
Journal of Experimental & Theoretical Artificial Intelligence
Using traceless genetic programming for solving multi-objective optimization problems
Journal of Experimental & Theoretical Artificial Intelligence
An ant colony optimization algorithm for scheduling virtual cellular manufacturing systems
International Journal of Computer Integrated Manufacturing
International Journal of Computer Integrated Manufacturing - Global Competitive Manufacturing
International Journal of Computer Integrated Manufacturing
EDA: AN EVOLUTIONARY DECODING ALGORITHM FOR STATISTICAL MACHINE TRANSLATION
Applied Artificial Intelligence
GENETIC ALGORITHMS FOR DECISIONAL DNA: SOLVING SETS OF EXPERIENCE KNOWLEDGE STRUCTURE
Cybernetics and Systems
International Journal of Remote Sensing
Genetic algorithms to reduce diagnostic information
Automation and Remote Control
IEEE/ACM Transactions on Networking (TON)
Comparative study of SQP and metaheuristics for robotic manipulator design
Applied Numerical Mathematics
Population size versus runtime of a simple evolutionary algorithm
Theoretical Computer Science
Multi-objective calibration and fuzzy preference selection of a distributed hydrological model
Environmental Modelling & Software
Towards human competitive driving of scale model of a car
ACM SIGEVOlution
A framework for QoS-aware binding and re-binding of composite web services
Journal of Systems and Software
A decision support system for luggage typesetting
Expert Systems with Applications: An International Journal
Particle swarm optimization for pap-smear diagnosis
Expert Systems with Applications: An International Journal
Genetic optimization of order scheduling with multiple uncertainties
Expert Systems with Applications: An International Journal
Using genetically optimized artificial intelligence to improve gameplaying fun for strategical games
Sandbox '08 Proceedings of the 2008 ACM SIGGRAPH symposium on Video games
An efficient simulated annealing algorithm for design optimization of truss structures
Computers and Structures
Determining the structural layout of orthogonal framed buildings
Computers and Structures
Genetic algorithm-based multi-objective model for scheduling of linear construction projects
Advances in Engineering Software
Research note: On the assessment of input streams for incremental network computing
Journal of Parallel and Distributed Computing
Proceedings of the conference on Design, automation and test in Europe
Stereo correspondence using symbiotic genetic algorithms
MCBE'08 Proceedings of the 9th WSEAS International Conference on Mathematics & Computers In Business and Economics
Environmental Modelling & Software
A memetic random-key genetic algorithm for a symmetric multi-objective traveling salesman problem
Computers and Industrial Engineering
Feature-based classifier ensembles for diagnosing multiple faults in rotating machinery
Applied Soft Computing
Multicriteria programming in medical diagnosis and treatments
Applied Soft Computing
Differential Evolution as a viable tool for satellite image registration
Applied Soft Computing
Optimal switch location in mobile communication networks using hybrid genetic algorithms
Applied Soft Computing
Adaptive fuzzy controller for nonlinear systems via genetic algorithm
AEE'08 Proceedings of the 7th WSEAS International Conference on Application of Electrical Engineering
On the design of 2-DOF robot grippers
ICAI'08 Proceedings of the 9th WSEAS International Conference on International Conference on Automation and Information
Genetic algorithm for the training time assignment problem of core laboratories
ICAI'08 Proceedings of the 9th WSEAS International Conference on International Conference on Automation and Information
Software tool for naval surface warfare simulation and training
Journal of Computational Methods in Sciences and Engineering - Selected papers from the International Conference on Computer Science, Software Engineering, Information Technology, e-Business, and Applications, 2004
Locating dependence structures using search-based slicing
Information and Software Technology
An improved particle swarm optimization algorithm for flowshop scheduling problem
Information Processing Letters
Proceedings of the Second ACM-IEEE international symposium on Empirical software engineering and measurement
Crossover operation engine considering character inheritance
ACACOS'08 Proceedings of the 7th WSEAS International Conference on Applied Computer and Applied Computational Science
Feature selection strategies for poorly correlated data: correlation coefficient considered harmful
AIKED'08 Proceedings of the 7th WSEAS International Conference on Artificial intelligence, knowledge engineering and data bases
Improvement of genetic algorithm performance for identification of cultivation process models
EC'08 Proceedings of the 9th WSEAS International Conference on Evolutionary Computing
Free search in tracking time dependent optima
EC'08 Proceedings of the 9th WSEAS International Conference on Evolutionary Computing
Genetic learning using adaptive action value tables
EC'08 Proceedings of the 9th WSEAS International Conference on Evolutionary Computing
EC'08 Proceedings of the 9th WSEAS International Conference on Evolutionary Computing
Optimized staffing for product releases and its application at Chartwell Technology
Journal of Software Maintenance and Evolution: Research and Practice - Search Based Software Engineering [SBSE]
Heuristic methods to use don't cares in automated design of reversible and quantum logic circuits
Quantum Information Processing
A New Intelligent System Methodology for Time Series Forecasting with Artificial Neural Networks
Neural Processing Letters
A New Self-adaptative Crossover Operator for Real-Coded Evolutionary Algorithms
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Wavelet Enhanced Analytical and Evolutionary Approaches to Time Series Forecasting
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
An Evolutionary Approach to Task Graph Scheduling
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
A New Mutation Operator for the Elitism-Based Compact Genetic Algorithm
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Genetic-Greedy Hybrid Approach for Topological Active Nets Optimization
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Genetic Based Distribution Service Restoration with Minimum Average Energy Not Supplied
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Design of 2-D IIR Filters Using Two Error Criteria with Genetic Algorithm
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Theory of Saplings Growing Up Algorithm
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Agent-Based Approach to Solving the Resource Constrained Project Scheduling Problem
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
An Evolutionary Approach for Approximating the Solutions of Systems of Linear Fuzzy Equations
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Fuzzy Relation-Based PNNs with the Aid of IG and Symbolic Gene Type-Based GAs
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Improving SVM Performance Using a Linear Combination of Kernels
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part II
Vectorization of Grid Maps by an Evolutionary Algorithm
RoboCup 2006: Robot Soccer World Cup X
Automatic Topological Active Net Division in a Genetic-Greedy Hybrid Approach
IbPRIA '07 Proceedings of the 3rd Iberian conference on Pattern Recognition and Image Analysis, Part II
IbPRIA '07 Proceedings of the 3rd Iberian conference on Pattern Recognition and Image Analysis, Part II
A Steep Thermodynamical Selection Rule for Evolutionary Algorithms
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
New Model for Multi-objective Evolutionary Algorithms
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
Optimization of IG-Based Fuzzy System with the Aid of GAs and Its Application to Software Process
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
Automated Design Approach for Analog Circuit Using Genetic Algorithm
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
Adaptive Nonlinear Control Using TSK-Type Recurrent Fuzzy Neural Network System
ISNN '07 Proceedings of the 4th international symposium on Neural Networks: Advances in Neural Networks
Neural Network Training Using Genetic Algorithm with a Novel Binary Encoding
ISNN '07 Proceedings of the 4th international symposium on Neural Networks: Part II--Advances in Neural Networks
A Structural Adapting Self-organizing Maps Neural Network
ISNN '07 Proceedings of the 4th international symposium on Neural Networks: Part II--Advances in Neural Networks
An Intelligent Differential Evolution Algorithm for Designing Trading-Ratio System of Water Market
ISNN '07 Proceedings of the 4th international symposium on Neural Networks: Advances in Neural Networks, Part III
Anticipatory Behavior in Adaptive Learning Systems
An Integrated Resolution of Joint Production and Maintenance Scheduling Problem in Hybrid Flowshop
IWINAC '07 Proceedings of the 2nd international work-conference on The Interplay Between Natural and Artificial Computation, Part I: Bio-inspired Modeling of Cognitive Tasks
IFSA '07 Proceedings of the 12th international Fuzzy Systems Association world congress on Foundations of Fuzzy Logic and Soft Computing
A Genetic Algorithm Based on Eigen Fuzzy Sets for Image Reconstruction
WILF '07 Proceedings of the 7th international workshop on Fuzzy Logic and Applications: Applications of Fuzzy Sets Theory
ADMA '07 Proceedings of the 3rd international conference on Advanced Data Mining and Applications
Roulette Sampling for Cost-Sensitive Learning
ECML '07 Proceedings of the 18th European conference on Machine Learning
Constraint-Based School Timetabling Using Hybrid Genetic Algorithms
AI*IA '07 Proceedings of the 10th Congress of the Italian Association for Artificial Intelligence on AI*IA 2007: Artificial Intelligence and Human-Oriented Computing
Test Pattern Generator Design Optimization Based on Genetic Algorithm
IEA/AIE '08 Proceedings of the 21st international conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems: New Frontiers in Applied Artificial Intelligence
Exploiting Morphological Query Structure Using Genetic Optimisation
NLDB '08 Proceedings of the 13th international conference on Natural Language and Information Systems: Applications of Natural Language to Information Systems
Engineering Systems Which Generate Emergent Functionalities
Engineering Environment-Mediated Multi-Agent Systems
Haplotype Assembly from Weighted SNP Fragments and Related Genotype Information
FAW '08 Proceedings of the 2nd annual international workshop on Frontiers in Algorithmics
An Enzyme-Inspired Approach to Surmount Barriers in Graph Bisection
ICCSA '08 Proceeding sof the international conference on Computational Science and Its Applications, Part I
Memetic Algorithm Based on a Constraint Satisfaction Technique for VRPTW
ICAISC '08 Proceedings of the 9th international conference on Artificial Intelligence and Soft Computing
ICAISC '08 Proceedings of the 9th international conference on Artificial Intelligence and Soft Computing
SVM-Based Face Recognition Using Genetic Search for Frequency-Feature Subset Selection
ICISP '08 Proceedings of the 3rd international conference on Image and Signal Processing
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part III
Multi-agent System for Dynamic Manufacturing System Optimization
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part III
Segmentation of Hyperspectral Images for the Detection of Rotten Mandarins
ICIAR '08 Proceedings of the 5th international conference on Image Analysis and Recognition
Improving Artificial Immune System Performance: Inductive Bias and Alternative Mutations
ICARIS '08 Proceedings of the 7th international conference on Artificial Immune Systems
Using Genetic Algorithms for Parameter Optimization in Building Predictive Data Mining Models
ADMA '08 Proceedings of the 4th international conference on Advanced Data Mining and Applications
Algorithms and Experimental Study for the Traveling Salesman Problem of Second Order
COCOA 2008 Proceedings of the 2nd international conference on Combinatorial Optimization and Applications
Multi-label Hierarchical Classification of Protein Functions with Artificial Immune Systems
BSB '08 Proceedings of the 3rd Brazilian symposium on Bioinformatics: Advances in Bioinformatics and Computational Biology
Application of Genetic Algorithms to the Genetic Regulation Problem
BSB '08 Proceedings of the 3rd Brazilian symposium on Bioinformatics: Advances in Bioinformatics and Computational Biology
Application of Interactive Genetic Algorithms to Boid Model Based Artificial Fish Schools
KES '08 Proceedings of the 12th international conference on Knowledge-Based Intelligent Information and Engineering Systems, Part II
An Adaptive GP Strategy for Evolving Digital Circuits
KES '08 Proceedings of the 12th international conference on Knowledge-Based Intelligent Information and Engineering Systems, Part III
A Hybrid Clustering Algorithm Based on Multi-swarm Constriction PSO and GRASP
DaWaK '08 Proceedings of the 10th international conference on Data Warehousing and Knowledge Discovery
Performance Evaluation of Two Search Space Reduction Methods for a Distributed Network Architecture
NBiS '08 Proceedings of the 2nd international conference on Network-Based Information Systems
GP Generation of Pedestrian Behavioral Rules in an Evacuation Model Based on SCA
ACRI '08 Proceedings of the 8th international conference on Cellular Automata for Reseach and Industry
ACRI '08 Proceedings of the 8th international conference on Cellular Automata for Reseach and Industry
GeCiM: A Novel Generalized Approach to C-Means Clustering
CIARP '08 Proceedings of the 13th Iberoamerican congress on Pattern Recognition: Progress in Pattern Recognition, Image Analysis and Applications
Feature Selection through Dynamic Mesh Optimization
CIARP '08 Proceedings of the 13th Iberoamerican congress on Pattern Recognition: Progress in Pattern Recognition, Image Analysis and Applications
Enhancing the Efficiency of the ECGA
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Costs and Benefits of Tuning Parameters of Evolutionary Algorithms
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Analyzing Hypervolume Indicator Based Algorithms
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
SPAM: Set Preference Algorithm for Multiobjective Optimization
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
Evolving XSLT Stylesheets for Document Transformation
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
AGE-P: A Platform for Open Evolution
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
A Grouping Genetic Algorithm Using Linear Linkage Encoding for Bin Packing
Proceedings of the 10th international conference on Parallel Problem Solving from Nature: PPSN X
A Genetic Algorithm for Text Classification Rule Induction
ECML PKDD '08 Proceedings of the European conference on Machine Learning and Knowledge Discovery in Databases - Part II
Indirect Online Evolution --- A Conceptual Framework for Adaptation in Industrial Robotic Systems
ICES '08 Proceedings of the 8th international conference on Evolvable Systems: From Biology to Hardware
ICES '08 Proceedings of the 8th international conference on Evolvable Systems: From Biology to Hardware
Proposal for LDPC Code Design System Using Multi-Objective Optimization and FPGA-Based Emulation
ICES '08 Proceedings of the 8th international conference on Evolvable Systems: From Biology to Hardware
Evolutionary Design of Fault Tolerant Collective Communications
ICES '08 Proceedings of the 8th international conference on Evolvable Systems: From Biology to Hardware
Artificial Creativity in Linguistics Using Evolvable Fuzzy Neural Networks
ICES '08 Proceedings of the 8th international conference on Evolvable Systems: From Biology to Hardware
Lattice Formation in Space for a Swarm of Pico Satellites
ANTS '08 Proceedings of the 6th international conference on Ant Colony Optimization and Swarm Intelligence
A Graph Partitioning Approach to Entity Disambiguation Using Uncertain Information
GoTAL '08 Proceedings of the 6th international conference on Advances in Natural Language Processing
Evolvable Neuro-fuzzy System for Artificial Creativity in Linguistics
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
A Frequent Pattern Mining Algorithm for Understanding Genetic Algorithms
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
An Adaptive Genetic Algorithm for Solving Traveling Salesman Problem
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
Emergent Motion Characteristics of a Modular Robot through Genetic Algorithm
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
Cooperative Approaches to Bacterial Foraging Optimization
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Artificial Intelligence
MATES '08 Proceedings of the 6th German conference on Multiagent System Technologies
A Genetic Algorithm Using a Mixed Crossover Strategy
ISNN '08 Proceedings of the 5th international symposium on Neural Networks: Advances in Neural Networks
ISNN '08 Proceedings of the 5th international symposium on Neural Networks: Advances in Neural Networks, Part II
Neural Network Research Progress and Applications in Forecast
ISNN '08 Proceedings of the 5th international symposium on Neural Networks: Advances in Neural Networks, Part II
Design of Experiments in Computational Intelligence: On the Use of Statistical Inference
HAIS '08 Proceedings of the 3rd international workshop on Hybrid Artificial Intelligence Systems
Enhanced Cooperative Co-evolution Genetic Algorithm for Rule-Based Pattern Classification
HAIS '08 Proceedings of the 3rd international workshop on Hybrid Artificial Intelligence Systems
Unsupervised Genetic Algorithm Deployed for Intrusion Detection
HAIS '08 Proceedings of the 3rd international workshop on Hybrid Artificial Intelligence Systems
Neuro-evolutionary Decision Support System for Financial Time Series Analysis
HAIS '08 Proceedings of the 3rd international workshop on Hybrid Artificial Intelligence Systems
Approximate Versus Linguistic Representation in Fuzzy-UCS
HAIS '08 Proceedings of the 3rd international workshop on Hybrid Artificial Intelligence Systems
Fuzzy Classification with Multi-objective Evolutionary Algorithms
HAIS '08 Proceedings of the 3rd international workshop on Hybrid Artificial Intelligence Systems
Editorial: Genetic and evolutionary computing
Information Sciences: an International Journal
Replacement strategies to preserve useful diversity in steady-state genetic algorithms
Information Sciences: an International Journal
A comparison between ATNoSFERES and Learning Classifier Systems on non-Markov problems
Information Sciences: an International Journal
Dynamic sub-ontology evolution for traditional Chinese medicine web ontology
Journal of Biomedical Informatics
Genetic algorithm based on simplex method for solving linear-quadratic bilevel programming problem
Computers & Mathematics with Applications
A graphical model for evolutionary optimization
Evolutionary Computation
The crowding approach to niching in genetic algorithms
Evolutionary Computation
Genetic algorithms with memory-and elitism-based immigrants in dynamic environments
Evolutionary Computation
Online optimization of replacement policies using learning automata
International Journal of Systems Science
International Journal of Systems Science
Fewer Hyper-Ellipsoids Fuzzy Rules Generation Using Evolutional Learning Scheme
Cybernetics and Systems
GENETIC ALGORITHM APPLICATION TO THE STANDARD ARABIC PHONEMES CLASSIFICATION
Cybernetics and Systems
APPLYING DATA MINING TECHNIQUES FOR CANCER CLASSIFICATION ON GENE EXPRESSION DATA
Cybernetics and Systems
DECA: THE DOPING-DRIVEN EVOLUTIONARY CONTROL ALGORITHM
Applied Artificial Intelligence
COMPARING ANNs AND GENETIC PROGRAMMING FOR VOICE QUALITY ASSESSMENT POST-TREATMENT
Applied Artificial Intelligence
TOWARD A MORE GLOBAL AND COHERENT SEGMENTATION OF TEXTS
Applied Artificial Intelligence
DESIGNING ROAD NETWORKS FOR TRANSPORT PLANNING USING EVOLUTIONARY COMPUTATION
Applied Artificial Intelligence
International Journal of Computer Integrated Manufacturing - Industrial Engineering and Systems Management
Gene expression programming based meta-modelling approach to production line design
International Journal of Computer Integrated Manufacturing
Connection Science - Social Learning in Embodied Agents
International Journal of Remote Sensing
A GA-based movie-on-demand platform using multiple distributed servers
Multimedia Tools and Applications
A cognitive scheme for gateway protection in wireless sensor network
Applied Intelligence
PID control of MIMO process based on rank niching genetic algorithm
Applied Intelligence
A type-2 fuzzy rule-based expert system model for stock price analysis
Expert Systems with Applications: An International Journal
A hierarchical evolutionary algorithm for automatic medical image segmentation
Expert Systems with Applications: An International Journal
Using genetic algorithms to evolve a population of topical queries
Information Processing and Management: an International Journal
Evolutionary multi criteria design optimization of robot grippers
Applied Soft Computing
Improving evolvable hardware by applying the speciation technique
Applied Soft Computing
Nonconvex economic load dispatch using an efficient real-coded genetic algorithm
Applied Soft Computing
Genetic approach for dynamic OVSF code allocation in 3G wireless networks
Applied Soft Computing
Parameter optimization for growth model of greenhouse crop using genetic algorithms
Applied Soft Computing
An autonomous GP-based system for regression and classification problems
Applied Soft Computing
Evolutionary minimization of the Rand index for speaker clustering
Computer Speech and Language
Optimal features subset selection and classification for iris recognition
Journal on Image and Video Processing - Regular
Large-scale network parameter configuration using an on-line simulation framework
IEEE/ACM Transactions on Networking (TON)
A request-routing framework for SOA-based enterprise computing
Proceedings of the VLDB Endowment
An entropy clustering analysis based on genetic algorithm
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Fuzzy theory and technology with applications
Computational Intelligence techniques for Web personalization
Web Intelligence and Agent Systems
International Journal of Computer Applications in Technology
A comparison of memetic algorithms for the spread spectrum radar polyphase codes design problem
Engineering Applications of Artificial Intelligence
Cooperative co-evolution of GA-based classifiers based on input decomposition
Engineering Applications of Artificial Intelligence
Information Sciences: an International Journal
WSEAS TRANSACTIONS on SYSTEMS
Research and implementation on genetic algorithms for graph fitness optimization
WSEAS TRANSACTIONS on SYSTEMS
WSEAS TRANSACTIONS on SYSTEMS
Optimizing communications in vehicular ad hoc networks using evolutionary computation and simulation
CSTST '08 Proceedings of the 5th international conference on Soft computing as transdisciplinary science and technology
Discovery of underlying morphological relations using an agglomerative clustering algorithm
CSTST '08 Proceedings of the 5th international conference on Soft computing as transdisciplinary science and technology
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Computers and Operations Research
Meta-learning optimal parameter values in non-stationary environments
Knowledge-Based Systems
Three-dimensional Integrated Circuit Design
Three-dimensional Integrated Circuit Design
Information Sciences: an International Journal
Artificial Intelligence Review
Multi-objective genetic algorithms based automated clustering for fuzzy association rules mining
Journal of Intelligent Information Systems
Incremental evolution of a signal classification hardware architecture for prosthetic hand control
International Journal of Knowledge-based and Intelligent Engineering Systems - Adaptive Hardwarel / Evolvable Hardware
A fuzzy numeric inference strategy for classification and regression problems
International Journal of Knowledge-based and Intelligent Engineering Systems
Real time gesture recognition using continuous time recurrent neural networks
Proceedings of the ICST 2nd international conference on Body area networks
Computers and Operations Research
Local search: A guide for the information retrieval practitioner
Information Processing and Management: an International Journal
International Journal of Approximate Reasoning
Performance assessment of multicriteria damage identification genetic algorithms
Computers and Structures
Model-based analysis of myocardial strain data acquired by tissue Doppler imaging
Artificial Intelligence in Medicine
An autonomic nervous system model applied to the analysis of orthostatic tests
Modelling and Simulation in Engineering - Modelling and simulation: computational intelligence in medicine
Breast Tumor simulation and parameters estimation using evolutionary algorithms
Modelling and Simulation in Engineering - Modelling and simulation: computational intelligence in medicine
Incremental Particle Swarm-Guided Local Search for Continuous Optimization
HM '08 Proceedings of the 5th International Workshop on Hybrid Metaheuristics
A Model Checking Approach to the Parameter Estimation of Biochemical Pathways
CMSB '08 Proceedings of the 6th International Conference on Computational Methods in Systems Biology
EURASIP Journal on Advances in Signal Processing
Regim, research group on intelligent machines, tunisia, at TRECVID 2008, BBC rushes summarization
TVS '08 Proceedings of the 2nd ACM TRECVid Video Summarization Workshop
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
GA-based PID active queue management control design for a class of TCP communication networks
Expert Systems with Applications: An International Journal
Using a hybrid meta-evolutionary rule mining approach as a classification response model
Expert Systems with Applications: An International Journal
A hybrid model using genetic algorithm and neural network for classifying garment defects
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Hybrid approaches for regional Takagi-Sugeno static output feedback fuzzy controller design
Expert Systems with Applications: An International Journal
Parameter estimation in mathematical models using the real coded genetic algorithms
Expert Systems with Applications: An International Journal
Process parameter optimization for MIMO plastic injection molding via soft computing
Expert Systems with Applications: An International Journal
Constructing investment strategy portfolios by combination genetic algorithms
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A hybrid genetic algorithm that optimizes capacitated vehicle routing problems
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A genetic programming model for bankruptcy prediction: Empirical evidence from Iran
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
New pixel-decimation patterns for block matching in motion estimation
Image Communication
Interval-indexed formulation based heuristics for single machine total weighted tardiness problem
Computers and Operations Research
Global search algorithm for automated maintenance planning and scheduling of parts requests
Computers and Operations Research
Order acceptance using genetic algorithms
Computers and Operations Research
Environmental Modelling & Software
Finite Elements in Analysis and Design
NBS: A new representation for point surfaces based on genetic clustering algorithm
Computers and Graphics
The influence of the geometry and the material properties on the behavior of the human knee
WSEAS Transactions on Mathematics
Optimal operational strategy for hybrid renewable energy system using genetic algorithms
WSEAS Transactions on Mathematics
Estimating software readiness using predictive models
Information Sciences: an International Journal
Modeling of plasma process data using a multi-parameterized generalized regression neural network
Microelectronic Engineering
Computers and Electronics in Agriculture
ICIRA '08 Proceedings of the First International Conference on Intelligent Robotics and Applications: Part I
Information Sciences: an International Journal
A GA optimization model for workgroup-based repetitive scheduling (WoRSM)
Advances in Engineering Software
Dedicated hardware for inheritance-oriented crossover operation
WSEAS Transactions on Circuits and Systems
Hardware accelerator for evolutionary robotics
WSEAS Transactions on Circuits and Systems
Q-learning based on hierarchical evolutionary mechanism
WSEAS Transactions on Systems and Control
Fidelity-guaranteed robustness enhancement of blind-detection watermarking schemes
Information Sciences: an International Journal
A Dynamic Approach to Rough Clustering
RSCTC '08 Proceedings of the 6th International Conference on Rough Sets and Current Trends in Computing
Learning Classifier Systems: Looking Back and Glimpsing Ahead
Learning Classifier Systems
Evolving Fuzzy Rules with UCS: Preliminary Results
Learning Classifier Systems
A Learning Classifier System with Mutual-Information-Based Fitness
Learning Classifier Systems
Substructural Surrogates for Learning Decomposable Classification Problems
Learning Classifier Systems
Technology Extraction of Expert Operator Skills from Process Time Series Data
Learning Classifier Systems
Analysing Learning Classifier Systems in Reactive and Non-reactive Robotic Tasks
Learning Classifier Systems
Bi-objective Memetic Evolutionary Algorithm for Automated Microwave Filter Synthesis
SBIA '08 Proceedings of the 19th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
Using Genetic Fuzzy Algorithms to Model the Evolution of Climate Variables at San Jorge Gulf Area
MICAI '08 Proceedings of the 7th Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Using Hyper-heuristics for the Dynamic Variable Ordering in Binary Constraint Satisfaction Problems
MICAI '08 Proceedings of the 7th Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Introduction to Evolutionary Multiobjective Optimization
Multiobjective Optimization
Evolutionary Optimization of Union-Based Rule-Antecedent Fuzzy Neural Networks and Its Applications
IDEAL '08 Proceedings of the 9th International Conference on Intelligent Data Engineering and Automated Learning
A Novel GA-Taguchi-Based Feature Selection Method
IDEAL '08 Proceedings of the 9th International Conference on Intelligent Data Engineering and Automated Learning
Genetic Feature Selection for Optimal Functional Link Artificial Neural Network in Classification
IDEAL '08 Proceedings of the 9th International Conference on Intelligent Data Engineering and Automated Learning
IDEAL '08 Proceedings of the 9th International Conference on Intelligent Data Engineering and Automated Learning
3D Facial Recognition with Soft Computing
Digital Human Modeling
The Polytope of Fuzzy Measures and Its Adjacency Graph
MDAI '08 Sabadell Proceedings of the 5th International Conference on Modeling Decisions for Artificial Intelligence
Automatic Classification of NMR Spectra by Ensembles of Local Experts
SSPR & SPR '08 Proceedings of the 2008 Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Registration of 2D Histological Images of Bone Implants with 3D SRμCT Volumes
ISVC '08 Proceedings of the 4th International Symposium on Advances in Visual Computing
WSEAS TRANSACTIONS on SYSTEMS
Edge-based Segmentation Using Robust Evolutionary Algorithm Applied to Medical Images
Journal of Signal Processing Systems
Unsupervised Pixel Classification in Satellite Imagery: A Two-stage Fuzzy Clustering Approach
Fundamenta Informaticae
A Neuro-Genetic Framework for Pattern Recognition in Complex Systems
Fundamenta Informaticae - Membrane Computing
Power-Aware Real-Time Scheduling upon Dual CPU Type Multiprocessor Platforms
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
Integrated Computer-Aided Engineering
Using optimisation techniques for discretizing rough set partitions
International Journal of Hybrid Intelligent Systems - Computational Models for Life Sciences
Genetic approaches for topological active nets optimization
Pattern Recognition
Analysis of test suite reduction with enhanced tie-breaking techniques
Information and Software Technology
An AI approach for optimizing multi-pallet loading operations
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Knowledge discovery of concrete material using Genetic Operation Trees
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
An application of the genetic programming technique to strategy development
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
GA-based modified adaptive fuzzy sliding mode controller for nonlinear systems
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Pattern recognition using neural-fuzzy networks based on improved particle swam optimization
Expert Systems with Applications: An International Journal
A three-phase integrated model for product configuration change problems
Expert Systems with Applications: An International Journal
DSP-based sliding mode speed control of induction motor using neuro-genetic structure
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
International Journal of Network Management
An accelerating technique for population based algorithms
CompSysTech '08 Proceedings of the 9th International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing
A soft computing-based approach to spatio-temporal prediction
International Journal of Approximate Reasoning
The genetic algorithm for breast tumor diagnosis-The case of DNA viruses
Applied Soft Computing
MAkE: Multiobjective algorithm for k-way equipartitioning of a point set
Applied Soft Computing
A novel method for real parameter optimization based on Gene Expression Programming
Applied Soft Computing
A hybrid CMA-ES and HDE optimisation algorithm with application to solar energy potential
Applied Soft Computing
A fuzzy-driven genetic algorithm for sequence segmentation applied to genomic sequences
Applied Soft Computing
Enhancing network based intrusion detection for imbalanced data
International Journal of Knowledge-based and Intelligent Engineering Systems
Optimization strategies in credit portfolio management
Journal of Global Optimization
Parameter extraction for PSP MOSFET model using hierarchical particle swarm optimization
Engineering Applications of Artificial Intelligence
Optimal reconfiguration of distribution system by PSO and GA using graph theory
AEE'07 Proceedings of the 6th conference on Applications of electrical engineering
Damping of power system oscillation by PSS using GA
AEE'07 Proceedings of the 6th conference on Applications of electrical engineering
Project scheduling using a competitive genetic algorithm
SMO'08 Proceedings of the 8th conference on Simulation, modelling and optimization
A model for a complex polynomial SVM kernel
SMO'08 Proceedings of the 8th conference on Simulation, modelling and optimization
Applying a genetic algorithms programming to natural cheese products
ACS'08 Proceedings of the 8th conference on Applied computer scince
Genetic Programming and Evolvable Machines
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
Generalized Extremal Optimization for Solving Multiprocessor Task Scheduling Problem
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
Improving NSGA-II Algorithm Based on Minimum Spanning Tree
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
Extremal Optimisation with a Penalty Approach for the Multidimensional Knapsack Problem
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
SEAL '08 Proceedings of the 7th International Conference on Simulated Evolution and Learning
A Novel Particle Swarm Optimization for Constrained Engineering Optimization Problems
ISICA '08 Proceedings of the 3rd International Symposium on Advances in Computation and Intelligence
Exploring Building Blocks through Crossover
ISICA '08 Proceedings of the 3rd International Symposium on Advances in Computation and Intelligence
Combination of Global and Local Search for Real Function Optimization
ISICA '08 Proceedings of the 3rd International Symposium on Advances in Computation and Intelligence
GA-Based Robustness Evaluation Method for Digital Image Watermarking
IWDW '07 Proceedings of the 6th International Workshop on Digital Watermarking
Learning and Intelligent Optimization
Applied Artificial Intelligence
A Framework for Mutant Genetic Generation for WS-BPEL
SOFSEM '09 Proceedings of the 35th Conference on Current Trends in Theory and Practice of Computer Science
Analyses of simple hybrid algorithms for the vertex cover problem*
Evolutionary Computation
Solving the multiple competitive facilities location and design problem on the plane
Evolutionary Computation
Use of genetic algorithm in generation of feasible test data
ACM SIGSOFT Software Engineering Notes
Application of genetic algorithms to robot kinematics calibration
International Journal of Systems Science - Innovative Production Machines and Systems, Guest Editors: Duc-Truong Pham, Anthony Soroka and Eldaw Eldukhri
A flexible visual inspection system based on neural networks
International Journal of Systems Science - Innovative Production Machines and Systems, Guest Editors: Duc-Truong Pham, Anthony Soroka and Eldaw Eldukhri
Imitation guided learning in learning classifier systems
Natural Computing: an international journal
Observer-invariant histopathology using genetics-based machine learning
Natural Computing: an international journal
MILP approach to pattern generation in logical analysis of data
Discrete Applied Mathematics
Bayesian classifiers based on kernel density estimation: Flexible classifiers
International Journal of Approximate Reasoning
Implementation of a genetic algorithm on a virtex-ii pro FPGA
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Advances in Engineering Software
Hadamard matrices of Williamson type: A challenge for Computer Algebra
Journal of Symbolic Computation
A new approach for EEG feature extraction in P300-based lie detection
Computer Methods and Programs in Biomedicine
A fuzzy guided multi-objective evolutionary algorithm model for solving transportation problem
Expert Systems with Applications: An International Journal
Modelling damping ratio and shear modulus of sand-mica mixtures using genetic programming
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Trading strategy design in financial investment through a turning points prediction scheme
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
An ensemble of support vector machines for predicting virulent proteins
Expert Systems with Applications: An International Journal
Using programming to help students understand the value of diversity
Proceedings of the 40th ACM technical symposium on Computer science education
Application of Radial Basis Function Neural Network for Sales Forecasting
CAR '09 Proceedings of the 2009 International Asia Conference on Informatics in Control, Automation and Robotics
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Are we modelling the right thing? The impact of incorrect problem specification in credit scoring
Expert Systems with Applications: An International Journal
Knowledge and intelligent computing system in medicine
Computers in Biology and Medicine
Computers and Industrial Engineering
Voltage-dependent parameter refinement for single-phase induction motors using genetic algorithms
WSEAS Transactions on Systems and Control
A genetic algorithms programming application in natural cheese products
WSEAS TRANSACTIONS on SYSTEMS
Gender classification based on feature selection using genetic algorithms
ICCOMP'08 Proceedings of the 12th WSEAS international conference on Computers
Engineering Applications of Artificial Intelligence
Robotics and Computer-Integrated Manufacturing
BAIS: A Bayesian Artificial Immune System for the effective handling of building blocks
Information Sciences: an International Journal
A genetic algorithm approach to determine the sample size for attribute control charts
Information Sciences: an International Journal
Software project effort estimation with voting rules
Decision Support Systems
An evolutionary algorithm for surface modification
Acta Cybernetica
The influence of search mechanisms in feature subset selection processes
Intelligent Decision Technologies
Cooperative multi-robot path planning using differential evolution
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Theoretical advances of intelligent paradigms
SR-1: a simulation-based algorithm for the capacitated vehicle routing problem
Proceedings of the 40th Conference on Winter Simulation
Investigation of genetic algorithms contribution to feature selection for oil spill detection
International Journal of Remote Sensing
Combining evolutionary and stochastic gradient techniques for system identification
Journal of Computational and Applied Mathematics
Data aggregation and routing in Wireless Sensor Networks: Optimal and heuristic algorithms
Computer Networks: The International Journal of Computer and Telecommunications Networking
A genetic algorithm with gene rearrangement for K-means clustering
Pattern Recognition
Consensus fingerprint matching with genetically optimised approach
Pattern Recognition
Implementation of scatter search for multi-objective optimization: a comparative study
Computational Optimization and Applications
Computers and Operations Research
Fuzzy wavelet neural network for prediction of electricity consumption
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Self-Organizing Map Based Data Detection of Hematopoietic Tumors
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
A Fast Computational Optimization Method: Univariate Dynamic Encoding Algorithm for Searches (uDEAS)
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
International Journal of Electronic Finance
A comprehensive solution to ATM network planning and bandwidth management using Genetic Algorithm
International Journal of Information and Communication Technology
An Improved Clonal Selection Algorithm and Its Application to Traveling Salesman Problems
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
An Expanded Lateral Interactive Clonal Selection Algorithm and Its Application
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
A Network Analysis of Genetic Algorithms
IEICE - Transactions on Information and Systems
Feature Selection in Genetic Fuzzy Discretization for the Pattern Classification Problems
IEICE - Transactions on Information and Systems
Modeling Network Intrusion Detection System Using Feature Selection and Parameters Optimization
IEICE - Transactions on Information and Systems
Applying genetic algorithm to select an optimal cricket team
Proceedings of the International Conference on Advances in Computing, Communication and Control
Robotics and Computer-Integrated Manufacturing
A comparative study on some navigation schemes of a real robot tackling moving obstacles
Robotics and Computer-Integrated Manufacturing
Pattern classification by concurrently determined piecewise linear and convex discriminant functions
Computers and Industrial Engineering
Ensemble based on GA wrapper feature selection
Computers and Industrial Engineering
Computers and Industrial Engineering
Multiobjective evolutionary optimisation for adaptive product family design
International Journal of Computer Integrated Manufacturing - THE CHALLENGES OF MANUFACTURING IN THE GLOBALLY INTEGRATED ECONOMY. GUEST EDITOR: ROBIN G. QIU
A hybrid metaheuristic case-based reasoning system for nurse rostering
Journal of Scheduling
A multi-objective evolutionary algorithm for examination timetabling
Journal of Scheduling
A review of procedures to evolve quantum algorithms
Genetic Programming and Evolvable Machines
Strategy-based behavioural biometrics: a novel approach to automated identification
International Journal of Computer Applications in Technology
Genetic algorithm-based clustering approach for k-anonymization
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A new probabilistic generative model of parameter inference in biochemical networks
Proceedings of the 2009 ACM symposium on Applied Computing
LEGAL-tree: a lexicographic multi-objective genetic algorithm for decision tree induction
Proceedings of the 2009 ACM symposium on Applied Computing
Evolving morphologies and gaits of physically realistic simulated robots
Proceedings of the 2009 ACM symposium on Applied Computing
A divide-and-conquer recursive approach for scaling up instance selection algorithms
Data Mining and Knowledge Discovery
Trading-off security and performance in barrier slicing for remote software entrusting
Automated Software Engineering
Self-organized Parallel Cooperation for Solving Optimization Problems
ARCS '09 Proceedings of the 22nd International Conference on Architecture of Computing Systems
Information Sciences: an International Journal
Adaptive global visibility sampling
ACM SIGGRAPH 2009 papers
Parameter Estimation of a Monod-Type Model Based on Genetic Algorithms and Sensitivity Analysis
Large-Scale Scientific Computing
Design of 2-D Approximately Zero-Phase Separable IIR Filters Using Genetic Algorithms
Large-Scale Scientific Computing
Genetic Algorithm for Synchronization
LATA '09 Proceedings of the 3rd International Conference on Language and Automata Theory and Applications
Genetic Programming Based Approach for Synchronization with Parameter Mismatches in EEG
EuroGP '09 Proceedings of the 12th European Conference on Genetic Programming
EvoBIO '09 Proceedings of the 7th European Conference on Evolutionary Computation, Machine Learning and Data Mining in Bioinformatics
Testing Detector Parameterization Using Evolutionary Exploit Generation
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
Knowledge Patterns in Evolutionary Decision Support Systems for Financial Time Series Analysis
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
An Evolutionary Path Planner for Multiple Robot Arms
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
Stochastic Local Search Techniques with Unimodal Continuous Distributions: A Survey
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
Evolutionary Optimization Guided by Entropy-Based Discretization
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
Evolutionary Freight Transportation Planning
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
A Corridor Method-Based Algorithm for the Pre-marshalling Problem
EvoWorkshops '09 Proceedings of the EvoWorkshops 2009 on Applications of Evolutionary Computing: EvoCOMNET, EvoENVIRONMENT, EvoFIN, EvoGAMES, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, EvoNUM, EvoSTOC, EvoTRANSLOG
Application of MOGA Search Strategy to SVM Training Data Selection
EMO '09 Proceedings of the 5th International Conference on Evolutionary Multi-Criterion Optimization
On Optimal Solutions for the Optimal Communication Spanning Tree Problem
Operations Research
Chromosome refinement for optimising multiple supply chains
Information Sciences: an International Journal
Evolutionary generation of unique input/output sequences for class behavioral testing
Computers & Mathematics with Applications
Real options approach to evaluating genetic algorithms
Applied Soft Computing
Particle swarm optimization with crazy particles for nonconvex economic dispatch
Applied Soft Computing
Applied Soft Computing
A portfolio optimization model using Genetic Network Programming with control nodes
Expert Systems with Applications: An International Journal
Ant colony and particle swarm optimization for financial classification problems
Expert Systems with Applications: An International Journal
The search for optimal feature set in power quality event classification
Expert Systems with Applications: An International Journal
Portfolio optimization problems in different risk measures using genetic algorithm
Expert Systems with Applications: An International Journal
Sediment load prediction by genetic algorithms
Advances in Engineering Software
AG-ART: An adaptive approach to evolving ART architectures
Neurocomputing
A hybrid GA-ant colony approach for exploring the relationship between IT and firm performance
International Journal of Business Information Systems
Computers and Industrial Engineering
Genetic-based scheduling to solve the parcel hub scheduling problem
Computers and Industrial Engineering
Multi-objective genetic local search algorithm using Kohonen's neural map
Computers and Industrial Engineering
Medical doctor rostering problem in a hospital emergency department by means of genetic algorithms
Computers and Industrial Engineering
Cost optimization of feed mixes by genetic algorithms
Advances in Engineering Software
How many hidden layers and nodes?
International Journal of Remote Sensing
Natural Computing: an international journal
Competition and evolution in virtual plant communities: a new modeling approach
Natural Computing: an international journal
Maneuvering and control of a biomimetic autonomous underwater vehicle
Autonomous Robots
A GA-based QoS multicast routing algorithm for large-scale networks
International Journal of High Performance Computing and Networking
Expert Systems with Applications: An International Journal
Genetic algorithm modeling for the inspection allocation in reentrant production systems
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A novel modular neural network for imbalanced classification problems
Pattern Recognition Letters
Handling sequential pattern decay: Developing a two-stage collaborative recommender system
Electronic Commerce Research and Applications
A hybrid approach to design efficient learning classifiers
Computers & Mathematics with Applications
Designing Multimodal Freight Transport Networks: A Heuristic Approach and Applications
Transportation Science
Buffer size decision for Flexible Transfer Line with Rework Paths using Genetic Algorithm
International Journal of Intelligent Systems Technologies and Applications
A model-based regression test selection approach for embedded applications
ACM SIGSOFT Software Engineering Notes
Scan-chain design and optimization for three-dimensional integrated circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Grouping genetic algorithm for solving the serverconsolidation problem with conflicts
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
Non-even spread NSGA-II and its application to conflicting multi-objective compatible control
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
Virus-evolutionary genetic algorithm based selective ensemble classifier for pedestrian detection
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
Problem difficulty analysis for particle swarm optimization: deception and modality
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
About the dynamics of essential genetic information: an empirical analysis for selected GA-variants
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
Bumblebees: a multiagent combinatorial optimization algorithm inspired by social insect behaviour
Proceedings of the first ACM/SIGEVO Summit on Genetic and Evolutionary Computation
A hybrid load balancing strategy of sequential tasks for grid computing environments
Future Generation Computer Systems
Computers and Operations Research
Engineering Applications of Artificial Intelligence
Deliveries optimization by exploiting production traceability information
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Feature Based Affine Invariant Watermarking Robust to Geometric Distortions
Fundamenta Informaticae
Analysis and improvement of the genetic discovery component of XCS
International Journal of Hybrid Intelligent Systems - Data Mining and Hybrid Intelligent Systems
Optimal multilevel redundancy allocation in series and series-parallel systems
Computers and Industrial Engineering
An introduction to the hydrological modelling system PREVAH and its pre- and post-processing-tools
Environmental Modelling & Software
Computer algebra software for least squares and total least norm inversion of geophysical models
Computers & Geosciences
Hybrid spectral gradient method for the unconstrained minimization problem
Journal of Global Optimization
High performance genetic programming on GPU
BADS '09 Proceedings of the 2009 workshop on Bio-inspired algorithms for distributed systems
The Journal of Supercomputing
Genetic Algorithm as discrete-time filter solver
International Journal of Advanced Intelligence Paradigms
Biological motifs designed using the principles of artificial life
International Journal of Advanced Intelligence Paradigms
Improved genetic algorithm for optimal design of fuzzy classifier
International Journal of Computer Applications in Technology
Exploring optimised route selection strategy in tree- and mesh-based multicast routing in MANETs
International Journal of Computer Applications in Technology
Turbine stage design aided by artificial intelligence methods
Expert Systems with Applications: An International Journal
A hybrid statistical genetic-based demand forecasting expert system
Expert Systems with Applications: An International Journal
Genetic programming for credit scoring: The case of Egyptian public sector banks
Expert Systems with Applications: An International Journal
GA-based learning bias selection mechanism for real-time scheduling systems
Expert Systems with Applications: An International Journal
A GA-Tabu algorithm for scheduling in-line steppers in low-yield scenarios
Expert Systems with Applications: An International Journal
Performance improvement of software-based system using an integrated approach – a case study
International Journal of Information Systems and Change Management
Edit metric decoding: a new hope
C3S2E '09 Proceedings of the 2nd Canadian Conference on Computer Science and Software Engineering
Inducing combinatory categorial grammars with genetic algorithms
ACL '07 Proceedings of the 45th Annual Meeting of the ACL: Student Research Workshop
The expected value models on Sugeno measure space
International Journal of Approximate Reasoning
A flexible tolerance genetic algorithm for optimal problems with nonlinear equality constraints
Advanced Engineering Informatics
Advanced Engineering Informatics
WSEAS TRANSACTIONS on SYSTEMS
Pattern-Based Genetic Algorithm Approach to Coverage Path Planning for Mobile Robots
ICCS '09 Proceedings of the 9th International Conference on Computational Science: Part I
Power System Aggregate Load Area Dynamic Modeling by Learning Based on WAMS
ISNN 2009 Proceedings of the 6th International Symposium on Neural Networks: Advances in Neural Networks - Part III
EC'09 Proceedings of the 10th WSEAS international conference on evolutionary computing
Application of a genetic algorithm for optimal passive control of buildings with metallic dampers
EC'09 Proceedings of the 10th WSEAS international conference on evolutionary computing
EC'09 Proceedings of the 10th WSEAS international conference on evolutionary computing
Genetic algorithm-based boundary extraction of plaque in intravascular ultrasound image
ICOSSSE'08 Proceedings of the 7th WSEAS international conference on System science and simulation in engineering
MAMECTIS'08 Proceedings of the 10th WSEAS international conference on Mathematical methods, computational techniques and intelligent systems
MAMECTIS'08 Proceedings of the 10th WSEAS international conference on Mathematical methods, computational techniques and intelligent systems
Genetical programming evolving algorithms
MAMECTIS'08 Proceedings of the 10th WSEAS international conference on Mathematical methods, computational techniques and intelligent systems
Design of Fuzzy Models through Particle Swarm Optimization
Proceedings of the 2006 conference on Integrated Intelligent Systems for Engineering Design
Intelligent Experimental Design Using an Artificial Neural Network Meta Model and Information Theory
Proceedings of the 2006 conference on Integrated Intelligent Systems for Engineering Design
Integrated Knowledge-based System for Product Design in Furniture Estimate
Proceedings of the 2006 conference on Integrated Intelligent Systems for Engineering Design
Program Evolution for General Intelligence
Proceedings of the 2007 conference on Advances in Artificial General Intelligence: Concepts, Architectures and Algorithms: Proceedings of the AGI Workshop 2006
Algorithms and Architectures of Artificial Intelligence
Proceedings of the 2007 conference on Algorithms and Architectures of Artificial Intelligence
Evolutionary Optimization of Trading Strategies
Proceedings of the 2008 conference on Applications of Data Mining in E-Business and Finance
Proceedings of the 2008 conference on Applications of Data Mining in E-Business and Finance
Imbalanced Training Set Reduction and Feature Selection Through Genetic Optimization
Proceedings of the 2005 conference on Artificial Intelligence Research and Development
Comparison of Strategies Based on Evolutionary Computation for the Design of Similarity Functions
Proceedings of the 2005 conference on Artificial Intelligence Research and Development
Solving the GMM-model with a MOEA
Proceedings of the 2005 conference on Artificial Intelligence Research and Development
Hybrid Particle Swarm Optimizer with Mutation
Proceedings of the 2005 conference on Advances in Logic Based Intelligent Systems: Selected Papers of LAPTEC 2005
Why an AI research team adopted XP practices
Proceedings of the 47th Annual Southeast Regional Conference
Proceedings of the 2008 conference on Artificial Intelligence Research and Development: Proceedings of the 11th International Conference of the Catalan Association for Artificial Intelligence
International Journal of Systems Science
Robust coordinated design of excitation and STATCOM-based controller using genetic algorithm
International Journal of Innovative Computing and Applications
RankVisu: Mapping from the neighborhood network
Neurocomputing
A dynamic holding strategy in public transit systems with real-time information
Applied Intelligence
Genetic algorithms applied to the solution of hybrid optimal control problems in astrodynamics
Journal of Global Optimization
System identification using DNA computing approach
CIMMACS'08 Proceedings of the 7th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
Genetic algorithm in time series fatigue analysis
MATH'08 Proceedings of the 13th WSEAS international conference on Applied mathematics
Analog fault detection and classification using genetic algorithm
CIS'09 Proceedings of the international conference on Computational and information science 2009
Neuroevolutionary reinforcement learning for generalized helicopter control
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Mining probabilistic models learned by EDAs in the optimization of multi-objective problems
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Adaptation of expansion rate for real-coded crossovers
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Theoretical analysis of fitness-proportional selection: landscapes and efficiency
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Analysis of evolutionary algorithms on the one-dimensional spin glass with power-law interactions
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
An evolutionary algorithm with species-specific explosion for multimodal optimization
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
The multi-label OCS with a genetic algorithm for rule discovery: implementation and first results
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Data-intensive computing for competent genetic algorithms: a pilot study using meandre
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Evolutionary optimization of multistage interconnection networks performance
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
A hybrid GA-PSO fuzzy system for user identification on smart phones
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Behavioural GP diversity for adaptive stock selection
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
A new method for linkage learning in the ECGA
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
On the detection of general problem structures by using inductive linkage identification
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Alternative voting systems in stock car racing
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Improving SMT performance: an application of genetic algorithms to configure resizable caches
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Application of a simple binary genetic algorithm to a noiseless testbed benchmark
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Evaluating the cell broadband engine as a platform to run estimation of distribution algorithms
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Parallel multi-objective evolutionary algorithms on graphics processing units
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Solving quadratic assignment problems by genetic algorithms with GPU computation: a case study
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Asynchronous collaborative search using adaptive co-evolving subpopulations
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Introduction to genetic algorithms
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Representations for evolutionary algorithms
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
The evolutionary perspective of knowledge creation - A mathematical representation
Knowledge-Based Systems
Development and integration of a reactive real-time decision support system in the aluminum industry
Engineering Applications of Artificial Intelligence
Genetic algorithm for supply planning in two-level assembly systems with random lead times
Engineering Applications of Artificial Intelligence
Classification in data mining for face images using neuro:genetic approaches
International Journal of Artificial Intelligence and Soft Computing
Optimisation of software testing using Genetic Algorithm
International Journal of Artificial Intelligence and Soft Computing
Evolutionary Algorithm Using Mutual Information for Independent Component Analysis
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
Improving the Efficiency of Multiple Sequence Alignment by Genetic Algorithms
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
Weighting and Feature Selection on Gene-Expression data by the use of Genetic Algorithms
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
Real-Coded GA for Parameter Optimization in Short-Term Load Forecasting
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
An Independent Component Analysis Evolution Based Method for Nonlinear Speech Processing
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
METAL A: a Distributed System for Web Usage Mining
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
Resolution of joint maintenance/production scheduling by sequential and integrated strategies
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
A Preliminar Analysis of CO2RBFN in Imbalanced Problems
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
Applying Evolutionary Computation Methods to Formal Testing and Model Checking
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
Creation of Specific-to-Problem Kernel Functions for Function Approximation
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
An Evolutionary Hierarchical Clustering Method with a Visual Validation Tool
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
A Genetic Algorithm for ANN Design, Training and Simplification
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
Real Adaboost Ensembles with Emphasized Subsampling
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
Data Mining in Complex Diseases Using Evolutionary Computation
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
Bus Network Scheduling Problem: GRASP + EAs with PISA * Simulation
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part I: Bio-Inspired Systems: Computational and Ambient Intelligence
EDA-Based Logistic Regression Applied to Biomarkers Selection in Breast Cancer
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part II: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living
Population Extinction in Genetic Algorithms: Application in Evolutionary Studies
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part II: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living
Practical Application of a KDD Process to a Sulphuric Acid Plant
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part II: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living
IWINAC '09 Proceedings of the 3rd International Work-Conference on The Interplay Between Natural and Artificial Computation: Part I: Methods and Models in Artificial and Natural Computation. A Homage to Professor Mira's Scientific Legacy
IWINAC '09 Proceedings of the 3rd International Work-Conference on The Interplay Between Natural and Artificial Computation: Part I: Methods and Models in Artificial and Natural Computation. A Homage to Professor Mira's Scientific Legacy
Simulation Analysis Using Multi-Agent Systems for Social Norms
Computational Economics
Satellite Image Registration by Distributed Differential Evolution
Proceedings of the 2007 EvoWorkshops 2007 on EvoCoMnet, EvoFIN, EvoIASP,EvoINTERACTION, EvoMUSART, EvoSTOC and EvoTransLog: Applications of Evolutionary Computing
An Online EHW Pattern Recognition System Applied to Face Image Recognition
Proceedings of the 2007 EvoWorkshops 2007 on EvoCoMnet, EvoFIN, EvoIASP,EvoINTERACTION, EvoMUSART, EvoSTOC and EvoTransLog: Applications of Evolutionary Computing
Genetic Programming for Image Recognition: An LGP Approach
Proceedings of the 2007 EvoWorkshops 2007 on EvoCoMnet, EvoFIN, EvoIASP,EvoINTERACTION, EvoMUSART, EvoSTOC and EvoTransLog: Applications of Evolutionary Computing
Proceedings of the 2007 EvoWorkshops 2007 on EvoCoMnet, EvoFIN, EvoIASP,EvoINTERACTION, EvoMUSART, EvoSTOC and EvoTransLog: Applications of Evolutionary Computing
Proceedings of the 2007 EvoWorkshops 2007 on EvoCoMnet, EvoFIN, EvoIASP,EvoINTERACTION, EvoMUSART, EvoSTOC and EvoTransLog: Applications of Evolutionary Computing
Economic Load Dispatch Using a Chemotactic Differential Evolution Algorithm
HAIS '09 Proceedings of the 4th International Conference on Hybrid Artificial Intelligence Systems
HAIS '09 Proceedings of the 4th International Conference on Hybrid Artificial Intelligence Systems
A First Study on the Use of Coevolutionary Algorithms for Instance and Feature Selection
HAIS '09 Proceedings of the 4th International Conference on Hybrid Artificial Intelligence Systems
HAIS '09 Proceedings of the 4th International Conference on Hybrid Artificial Intelligence Systems
An Evolutionary Ensemble-Based Method for Rule Extraction with Distributed Data
HAIS '09 Proceedings of the 4th International Conference on Hybrid Artificial Intelligence Systems
An Integrated System of Face Recognition
IEA/AIE '09 Proceedings of the 22nd International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems: Next-Generation Applied Intelligence
Color Image Retrieval Based on Interactive Genetic Algorithm
IEA/AIE '09 Proceedings of the 22nd International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems: Next-Generation Applied Intelligence
Grid-Enabled Optimization with GAMS
INFORMS Journal on Computing
Optimization and Knowledge-Based Technologies
Informatica
Genetic-based machine learning using hardware accelerator
ICC'08 Proceedings of the 12th WSEAS international conference on Circuits
A method in CMOS analog circuit optimization by genetic algorithm
IMCAS'09 Proceedings of the 8th WSEAS international conference on Instrumentation, measurement, circuits and systems
Feature selection for multi-label naive Bayes classification
Information Sciences: an International Journal
Context-Aware Path Planning in Ubiquitous Network
UIC '09 Proceedings of the 6th International Conference on Ubiquitous Intelligence and Computing
Efficient Zone Mapping Algorithms for Distributed Virtual Environments
PADS '09 Proceedings of the 2009 ACM/IEEE/SCS 23rd Workshop on Principles of Advanced and Distributed Simulation
Forecast of total nitrogen in wastewater treatment plants by means techniques of soft computing
ICS'08 Proceedings of the 12th WSEAS international conference on Systems
Neural network characterization of scanning electron microscopy
ICS'08 Proceedings of the 12th WSEAS international conference on Systems
Post buckling modelling and optimization of sandwich panels with corrugated cores
EE'08 Proceedings of the 5th WSEAS/IASME international conference on Engineering education
Analytical features: a knowledge-based approach to audio feature generation
EURASIP Journal on Audio, Speech, and Music Processing
Training approaches in neural enhancement for multiobjective optimization
Proceedings of the 46th Annual Southeast Regional Conference on XX
Proceedings of the 46th Annual Southeast Regional Conference on XX
Optimization of the multiple retailer supply chain management problem
Proceedings of the 46th Annual Southeast Regional Conference on XX
Applied Artificial Intelligence
Optimal stereo camera placement under spatially varying resolution requirements
Proceedings of the 2nd International Conference on Immersive Telecommunications
Finite Elements in Analysis and Design
Journal of Computational and Applied Mathematics
Modelling redundancy allocation for a fuzzy random parallel-series system
Journal of Computational and Applied Mathematics
Journal of Control Science and Engineering
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Using data structures within genetic programming
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Learning recursive functions from noisy examples using generic genetic programming
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
A new class of function sets for solving sequence problems
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Signal path oriented approach for generation of dynamic process models
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Distributed genetic programming: empirical study and analysis
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Genetic programming in database query optimization
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Genetic algorithms with analytical solution
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
On sensor evolution in robotics
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Testing software using order-based genetic algorithms
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Optimizing local area networks using genetic algorithms
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
A genetic algorithm for the construction of small and highly testable OKFDD-circuits
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Recognition and reconstruction of visibility graphs using a genetic algorithm
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Three-dimensional shape optimization utilizing a learning classifier system
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Natural niching for evolving cooperative classifiers
GECCO '96 Proceedings of the 1st annual conference on Genetic and evolutionary computation
Haar-like features with optimally weighted rectangles for rapid object detection
Pattern Recognition
An improvement on genetic-based learning method for fuzzy artificial neural networks
Applied Soft Computing
EvoArch: An evolutionary algorithm for architectural layout design
Computer-Aided Design
Design & Implementation of Parallel Linear GP for the IBM Cell Processor
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Design & Implementation of Real-time Parallel GA Operators on the IBM Cell Processor
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Transport logistics planning with service-level constraints
AAAI'04 Proceedings of the 19th national conference on Artifical intelligence
Sample-efficient evolutionary function approximation for reinforcement learning
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
A direct evolutionary feature extraction algorithm for classifying high dimensional data
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
A Hybrid Documents Classification Based on SVM and Rough Sets
AST '09 Proceedings of the 2009 International e-Conference on Advanced Science and Technology
A genetic network programming with learning approach for enhanced stock trading model
Expert Systems with Applications: An International Journal
Modified diffusion model with multiple products using a hybrid GA approach
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Generation of new service concepts: A morphology analysis and genetic algorithm approach
Expert Systems with Applications: An International Journal
An adaptive genetic-based signature learning system for intrusion detection
Expert Systems with Applications: An International Journal
Retrieval parameter optimization using genetic algorithms
Information Processing and Management: an International Journal
Finite Elements in Analysis and Design
Finite Elements in Analysis and Design
ICDM '09 Proceedings of the 9th Industrial Conference on Advances in Data Mining. Applications and Theoretical Aspects
Genetic Algorithm Based Scheduling of Radiotherapy Treatments for Cancer Patients
AIME '09 Proceedings of the 12th Conference on Artificial Intelligence in Medicine: Artificial Intelligence in Medicine
International Journal of Computer Applications in Technology
MLDM '09 Proceedings of the 6th International Conference on Machine Learning and Data Mining in Pattern Recognition
Towards Automated FSMD Partitioning for Low Power Using Simulated Annealing
SAMOS '09 Proceedings of the 9th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation
Evolution and evaluation of document retrieval queries
NeMLaP3/CoNLL '98 Proceedings of the Joint Conferences on New Methods in Language Processing and Computational Natural Language Learning
A multi-objective GA method for generating Pareto solutions for coordinated design of PSS and TCSC
International Journal of Intelligent Systems Technologies and Applications
The future of computer-aided innovation
Computers in Industry
Development of an engine crankshaft in a framework of computer-aided innovation
Computers in Industry
A new design optimization framework based on immune algorithm and Taguchi's method
Computers in Industry
A closed-loop logistic model with a spanning-tree based genetic algorithm
Computers and Operations Research
Hybrid flexible flowshops with sequence-dependent setup times and machine availability constraints
Computers and Industrial Engineering
An evolutionary algorithm for assembly job shop with part sharing
Computers and Industrial Engineering
A genetic algorithm-based approach for solving the resource-sharing and scheduling problem
Computers and Industrial Engineering
Wrapping VRXQuery with self-adaptive fuzzy capabilities
Web Intelligence and Agent Systems
Evolutionary design of Evolutionary Algorithms
Genetic Programming and Evolvable Machines
How evolutionary algorithms are applied to statistical natural language processing
Artificial Intelligence Review
A design framework for metaheuristics
Artificial Intelligence Review
Machine learning in digital games: a survey
Artificial Intelligence Review
A New Computational Methodology for the Construction of Forensic, Facial Composites
IWCF '09 Proceedings of the 3rd International Workshop on Computational Forensics
A New Genetic Algorithm for Scheduling for Large Communication Delays
Euro-Par '09 Proceedings of the 15th International Euro-Par Conference on Parallel Processing
Dynamic techniques for genetic algorithm--based music systems
Computer Music Journal
Information Sciences: an International Journal
A fully adaptive hybrid optimization of aircraft engine blades
Journal of Computational and Applied Mathematics
Mathematical study of trade-off relations in logistics systems
Journal of Computational and Applied Mathematics
Size optimization of space trusses using Big Bang-Big Crunch algorithm
Computers and Structures
Evolutionary design of oriented-tree networks using Cayley-type encodings
Information Sciences: an International Journal
Cost optimization of composite beams using genetic algorithms
Advances in Engineering Software
An ecological approach to agent population management
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Improving reinforcement learning function approximators via neuroevolution
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 4
Memetic networks: analyzing the effects of network properties in multi-agent performance
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 1
Automatically acquiring domain knowledge for adaptive game AI using evolutionary learning
IAAI'05 Proceedings of the 17th conference on Innovative applications of artificial intelligence - Volume 3
The Double Digest Problem: finding all solutions
International Journal of Bioinformatics Research and Applications
Fitness landscape analysis for resource allocation in multiuser OFDM based cognitive radio systems
ACM SIGMOBILE Mobile Computing and Communications Review
Computers and Operations Research
Computers and Operations Research
Speed-up techniques for solving large-scale biobjective TSP
Computers and Operations Research
Evolvable hardware for generalized neural networks
IJCAI'97 Proceedings of the Fifteenth international joint conference on Artifical intelligence - Volume 2
Journal of Artificial Intelligence Research
CIXL2: a crossover operator for evolutionary algorithms based on population features
Journal of Artificial Intelligence Research
Understanding algorithm performance on an oversubscribed scheduling application
Journal of Artificial Intelligence Research
Refining the execution of abstract actions with learned action models
Journal of Artificial Intelligence Research
Reinforcement learning: a survey
Journal of Artificial Intelligence Research
Connectionist theory refinement: genetically searching the space of network topologies
Journal of Artificial Intelligence Research
Efficient heuristic hypothesis ranking
Journal of Artificial Intelligence Research
Alternatives for classifier system credit assignment
IJCAI'89 Proceedings of the 11th international joint conference on Artificial intelligence - Volume 1
Evolutionary learning strategy using bug-based search
IJCAI'93 Proceedings of the 13th international joint conference on Artifical intelligence - Volume 2
In the quest of the missing link
IJCAI'97 Proceedings of the 15th international joint conference on Artifical intelligence - Volume 1
Genetic heuristic for search space exploration
IJCAI'99 Proceedings of the 16th international joint conference on Artificial intelligence - Volume 2
Learning and multiagent reasoning for autonomous agents
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
SegGen: a genetic algorithm for linear text segmentation
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Evolution, optimization, and language change: the case of Bengali verb inflections
SigMorPhon '07 Proceedings of Ninth Meeting of the ACL Special Interest Group in Computational Morphology and Phonology
Developing a custom cluster workflow for shape optimization with finite element analysis
SMO'09 Proceedings of the 9th WSEAS international conference on Simulation, modelling and optimization
Sim-paramecium algorithm based on enhanced livability and competition
ICS'09 Proceedings of the 13th WSEAS international conference on Systems
Circuit synthesis through combination of evolutionary and swarm algorithms
ICCOMP'09 Proceedings of the WSEAES 13th international conference on Computers
Task scheduling in distributed environment using genetic algorithm
AIC'09 Proceedings of the 9th WSEAS international conference on Applied informatics and communications
Evaluation of video news classification techniques for automatic content personalisation
International Journal of Advanced Media and Communication
Automated layout design of beam-slab floors using a genetic algorithm
Computers and Structures
Expert Systems with Applications: An International Journal
Current sharing of paralleled DC-DC converters using GA-based PID controllers
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A CBR-based fuzzy decision tree approach for database classification
Expert Systems with Applications: An International Journal
Classification of toxigenic and atoxigenic strains of Aspergillus flavus with hyperspectral imaging
Computers and Electronics in Agriculture
Evolutionary computation in the undergraduate curriculum
Journal of Computing Sciences in Colleges
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Multi-agent-based clustering approach to wireless sensor networks
International Journal of Wireless and Mobile Computing
When evolving populations is better than coevolving individuals: the blind mice problem
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
The role of chess in artificial intelligence research
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 1
A hybrid genetic algorithm for classification
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 2
Learning concept classification rules using genetic algorithms
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 2
IJCAI'91 Proceedings of the 12th international joint conference on Artificial intelligence - Volume 2
Management Option Rank Equivalence (MORE) - A new method of sensitivity analysis for decision-making
Environmental Modelling & Software
Adaptive life-cycle and viability based paramecium-imitated evolutionary algorithm
WSEAS Transactions on Computers
A design method in CMOS operational amplifier optimization based on adaptive genetic algorithm
WSEAS Transactions on Circuits and Systems
ACM SIGSOFT Software Engineering Notes
Fractal image compression based on spatial correlation and hybrid genetic algorithm
Journal of Visual Communication and Image Representation
Genetic programming for QSAR investigation of docking energy
Applied Soft Computing
Discussions of worker ants' rule-based CHC dealing with changing environments
Applied Soft Computing
A memetic algorithm applied to the design of water distribution networks
Applied Soft Computing
Tackling the premature convergence problem in Monte-Carlo localization
Robotics and Autonomous Systems
Comparison among five evolutionary-based optimization algorithms
Advanced Engineering Informatics
A new optimization method: Big Bang-Big Crunch
Advances in Engineering Software
Locating and characterizing the stationary points of the extended rosenbrock function
Evolutionary Computation
Genetic algorithm based selective neural network ensemble
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 2
Adding more intelligence to the network routing problem: AntNet and Ga-agents
Applied Soft Computing
Evolutionary software engineering, a review
Applied Soft Computing
Applied Soft Computing
Voice quality conversion using interactive evolution of prosodic control
Applied Soft Computing
Co-evolutionary learning with strategic coalition for multiagents
Applied Soft Computing
Genetic algorithms in classifier fusion
Applied Soft Computing
Clustering stability-based feature selection for unsupervised texture classification
Machine Graphics & Vision International Journal
A Study on Lateral Control of Autonomous Vehicles via Fired Fuzzy Rules Chromosome Encoding Scheme
Journal of Intelligent and Robotic Systems
Particle Swarm Optimization Algorithm for Agent-Based Artificial Markets
Computational Economics
Classification of oncologic data with genetic programming
Journal of Artificial Evolution and Applications - Special issue on artificial evolution methods in the biological and biomedical sciences
Learning classifier systems: a complete introduction, review, and roadmap
Journal of Artificial Evolution and Applications
Adaptive V/UV speech detection based on characterization of background noise
EURASIP Journal on Audio, Speech, and Music Processing
Backward-chaining evolutionary algorithms
Artificial Intelligence
A dynamical systems perspective on agent-environment interaction
Artificial Intelligence
Modelling and multivariable control in anaesthesia using neural-fuzzy paradigms
Artificial Intelligence in Medicine
Genetic algorithm for analysis of mutations in Parkinson's disease
Artificial Intelligence in Medicine
Scheduling data-intensive workflows on storage constrained resources
Proceedings of the 4th Workshop on Workflows in Support of Large-Scale Science
Prediction of protein subcellular location using hydrophobic patterns of amino acid sequence
Computational Biology and Chemistry
Cofolga: a genetic algorithm for finding the common folding of two RNAs
Computational Biology and Chemistry
Learning of shared attention in sociable robotics
Journal of Algorithms
A hybrid genetic - Particle Swarm Optimization Algorithm for the vehicle routing problem
Expert Systems with Applications: An International Journal
Stochastic multi-objective models for network design problem
Expert Systems with Applications: An International Journal
A decision support model for scheduling exhibition projects in art museums
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Investigation of the mechanical behavior of trapezoidal roofing sheets using genetic algorithms
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Smart assistor for controllers and plants in control systems
ISC '07 Proceedings of the 10th IASTED International Conference on Intelligent Systems and Control
Helical crossover method in immune algorithm: a case for job-shop scheduling problem
ISC '07 Proceedings of the 10th IASTED International Conference on Intelligent Systems and Control
Assisted recombination: accelerating genetic improvements of populations in a GA
ISC '07 Proceedings of the 10th IASTED International Conference on Intelligent Systems and Control
D3G2A: the dynamic distributed double guided genetic algorithm for the K-Graph partitioning problem
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
A memetic algorithm for reliability-based dynamic scheduling in heterogeneous computing environments
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
An improvement for the dynamic distributed double guided genetic algorithm for Max-CSPs
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Optimized model tuning in medical systems
Computer Methods and Programs in Biomedicine
Autonomous evolutionary algorithm in medical data analysis
Computer Methods and Programs in Biomedicine
Knowledge discovery with classification rules in a cardiovascular dataset
Computer Methods and Programs in Biomedicine
Investigating omitted variable bias in regression parameter estimation: A genetic algorithm approach
Computational Statistics & Data Analysis
Algorithms for IP network design with end-to-end QoS constraints
Computer Networks: The International Journal of Computer and Telecommunications Networking - Selected papers from the 3rd international workshop on QoS in multiservice IP networks (QoS-IP 2005)
Increasing revenues via adaptive filtering in wired/wireless networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Applying genetic algorithm for the development of the components-based embedded system
Computer Standards & Interfaces
An object detection and recognition system for weld bead extraction from digital radiographs
Computer Vision and Image Understanding
Modeling service-oriented context processing in dynamic body area networks
IEEE Journal on Selected Areas in Communications - Special issue on body area networking: Technology and applications
Capturing aesthetic intention during interactive evolution
Computer-Aided Design
Emphatic visual speech synthesis
IEEE Transactions on Audio, Speech, and Language Processing - Special issue on multimodal processing in speech-based interactions
IEEE Transactions on Evolutionary Computation - Special issue on computational finance and economics
Financial market trading system with a hierarchical coevolutionary fuzzy predictive model
IEEE Transactions on Evolutionary Computation - Special issue on computational finance and economics
Evolving least squares support vector machines for stock market trend mining
IEEE Transactions on Evolutionary Computation - Special issue on computational finance and economics
AsiaMS '07 Proceedings of the IASTED Asian Conference on Modelling and Simulation
Lower and upper bounds for linkage discovery
IEEE Transactions on Evolutionary Computation
Fuzzy-UCS: a Michigan-style learning fuzzy-classifier system for supervised learning
IEEE Transactions on Evolutionary Computation
Optimal strategies of the iterated prisoner's dilemma problem for multiple conflicting objectives
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Evolutionary Computation
Preserving and exploiting genetic diversity in evolutionary programming algorithms
IEEE Transactions on Evolutionary Computation
Nonlinear inversion of potential-field data using a hybrid-encoding genetic algorithm
Computers & Geosciences
A committee machine with empirical formulas for permeability prediction
Computers & Geosciences
Genetic algorithm aided design of component codes for irregular variable length coding
IEEE Transactions on Communications
Watermarking robustness evaluation based on perceptual quality via genetic algorithms
IEEE Transactions on Information Forensics and Security
Medical image segmentation using genetic algorithms
IEEE Transactions on Information Technology in Biomedicine
Computers and Industrial Engineering - Special issue: Selected papers from the 31st international conference on computers & industrial engineering
A review of optimization techniques in metal cutting processes
Computers and Industrial Engineering
IEEE Transactions on Information Technology in Biomedicine - Special section on computational intelligence in medical systems
Predicting the outcome of patients with subarachnoid hemorrhage using machine learning techniques
IEEE Transactions on Information Technology in Biomedicine - Special section on computational intelligence in medical systems
IEEE Transactions on Information Technology in Biomedicine - Special section on biomedical informatics
Identity disclosure protection: A data reconstruction approach for privacy-preserving data mining
Decision Support Systems
Robotics and Computer-Integrated Manufacturing
Design of robust D-stable IIR filters using genetic algorithms with embedded stability criterion
IEEE Transactions on Signal Processing
Improving hash-based Wyner-Ziv video coding using genetic algorithms
Proceedings of the 5th International ICST Mobile Multimedia Communications Conference
Advances in Games Technology: Software, Models, and Intelligence
Simulation and Gaming
Pyevolve: a Python open-source framework for genetic algorithms
ACM SIGEVOlution
Dynamic multiple swarms in multiobjective particle swarm optimization
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
An adaptive penalty formulation for constrained evolutionary optimization
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
On stability of the chemotactic dynamics in bacterial-foraging optimization algorithm
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Optimal contraction theorem for exploration-exploitation tradeoff in search and optimization
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Multiobjective evolutionary decision support for design-supplier-manufacturing planning
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on human computing
Efficient population utilization strategy for particle swarm optimizer
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Construction of tunable radial basis function networks using orthogonal forward selection
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Wireless heterogeneous transmitter placement using multiobjective variable-length genetic algorithm
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on cybernetics and cognitive informatics
Heuristic Kalman algorithm for solving optimization problems
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
A decade of Kasabov's evolving connectionist systems: a review
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
A survey of evolutionary algorithms for clustering
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
Performance and deployment evaluation of a parallel application in an on-premises Cloud environment
Proceedings of the 7th International Workshop on Middleware for Grids, Clouds and e-Science
Constructing ensembles of classifiers by means of weighted instance selection
IEEE Transactions on Neural Networks
IEEE Transactions on Wireless Communications
International Journal of Wireless and Mobile Computing
Comparison of synthetic face aging to age progression by forensic sketch artist
VIIP '07 The Seventh IASTED International Conference on Visualization, Imaging and Image Processing
Implementation of a multi-objective genetic algorithm on word segmentation in modern Greek
ASC '07 Proceedings of The Eleventh IASTED International Conference on Artificial Intelligence and Soft Computing
Genetic optimization of art neural network architectures
ASC '07 Proceedings of The Eleventh IASTED International Conference on Artificial Intelligence and Soft Computing
An expert system for recognition of the signal type
CSS '07 Proceedings of the Fifth IASTED International Conference on Circuits, Signals and Systems
A fast multi-objective evolutionary algorithm based on a tree structure
Applied Soft Computing
Simplifying Particle Swarm Optimization
Applied Soft Computing
Distributed evolutionary Monte Carlo for Bayesian computing
Computational Statistics & Data Analysis
Performance metrics and evaluation of a path planner based on genetic algorithms
PerMIS '07 Proceedings of the 2007 Workshop on Performance Metrics for Intelligent Systems
Evolutionary discriminant feature extraction with application to face recognition
EURASIP Journal on Advances in Signal Processing - Special issue on recent advances in biometric systems: a signal processing perspective
Improving the responsiveness of NSGA-II using an adaptive mutation operator: a case study
International Journal of Advanced Intelligence Paradigms
Dynamic diversity control in genetic algorithm for mining unsearched solution space in TSP problems
Expert Systems with Applications: An International Journal
Find multi-objective paths in stochastic networks via chaotic immune PSO
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Power load forecasting using support vector machine and ant colony optimization
Expert Systems with Applications: An International Journal
An integrated method of multi-objective optimization for complex mechanical structure
Advances in Engineering Software
Analysis of natural images processing for the extraction of agricultural elements
Image and Vision Computing
Video news classification for automatic content personalization: a genetic algorithm based approach
Proceedings of the 14th Brazilian Symposium on Multimedia and the Web
Extending the RCPSP for modeling and solving disruption management problems
Applied Intelligence
A quadratic empirical model formulation for dynamical systems using a genetic algorithm
Computers & Mathematics with Applications
Genetic algorithms and artificial life
Artificial Life
Evolving 3d morphology and behavior by competition
Artificial Life
Automated optimal design of mechanical conformational switches
Artificial Life
Subassembly generation via mechanical conformational switches
Artificial Life
Modeling malaria as a complex adaptive system
Artificial Life
Dependency structure matrix, genetic algorithms, and effective recombination
Evolutionary Computation
Artificial life as a tool for biological inquiry
Artificial Life
The artificial life roots of artificial intelligence
Artificial Life
Studying artificial life using a simple, general cellular model
Artificial Life
Selection for wandering behavior in a small robot
Artificial Life
Software evolution of a hexapod robot walking gait
International Journal of Intelligent Systems Technologies and Applications
Expert Systems with Applications: An International Journal
Computers and Operations Research
Hybrid meta-heuristics algorithms for task assignment in heterogeneous computing systems
Computers and Operations Research
MA|PM: memetic algorithms with population management
Computers and Operations Research
Optimum detailed design of reinforced concrete continuous beams using Genetic Algorithms
Computers and Structures
Evolutionary computation and structural design: A survey of the state-of-the-art
Computers and Structures
A hybrid genetic algorithm for reinforced concrete flat slab buildings
Computers and Structures
Nonlinear analysis and optimal design of structures via force method and genetic algorithm
Computers and Structures
Optimising mobile base station placement using an enhanced Multi-Objective Genetic Algorithm
International Journal of Business Intelligence and Data Mining
Using a virtual body to aid in exergaming system development
IEEE Computer Graphics and Applications - Special issue title on demystifying visual analytics impaired driving in virtual spaces
The evolution of metanorms: quis custodiet ipsos custodes?
Computational & Mathematical Organization Theory
Natural Computing: an international journal
Hybrid models based on biological approaches for speech recognition
Artificial Intelligence Review
A Multi-swarm Approach to Multi-objective Flexible Job-shop Scheduling Problems
Fundamenta Informaticae - Swarm Intelligence
A hybrid genetic algorithm with the Baldwin effect
Information Sciences: an International Journal
Information Sciences: an International Journal
Identifying significant genes with FM/CM-GA
MACMESE'09 Proceedings of the 11th WSEAS international conference on Mathematical and computational methods in science and engineering
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
A new method for protein tertiary structure prediction
CI '07 Proceedings of the Third IASTED International Conference on Computational Intelligence
Contributions to the multiprocessor scheduling problem
CI '07 Proceedings of the Third IASTED International Conference on Computational Intelligence
Genetic algorithm with immune adjustment mechanism
CI '07 Proceedings of the Third IASTED International Conference on Computational Intelligence
A meta-parallel evolutionary system for solving optimization problems
CI '07 Proceedings of the Third IASTED International Conference on Computational Intelligence
Waste collection vehicle routing problem with time windows using multi-objective genetic algorithms
CI '07 Proceedings of the Third IASTED International Conference on Computational Intelligence
Dynamic identification of inelastic shear frames by using Prandtl-Ishlinskii model
MS '07 The 18th IASTED International Conference on Modelling and Simulation
Non parametric identifier for Parkinson's disease dynamics by fuzzy-genetic controller
MS '07 The 18th IASTED International Conference on Modelling and Simulation
GA based optimization of manufacturing cycle cost
MS '07 The 18th IASTED International Conference on Modelling and Simulation
A memetic algorithm for optimal dynamic design of wireless sensor networks
Computer Communications
Genetic algorithms for parameter estimation in mathematical modeling of glucose metabolism
Computers in Biology and Medicine
Patient-recognition data-mining model for BCG-plus interferon immunotherapy bladder cancer treatment
Computers in Biology and Medicine
Machining fixture locating and clamping position optimization using genetic algorithms
Computers in Industry
Computers in Industry - Special issue: Application of genetics algorithms in industry
A solution to the unequal area facilities layout problem by genetic algorithm
Computers in Industry - Special issue: Application of genetics algorithms in industry
Optimal sequencing of tasks in an aluminium smelter casthouse
Computers in Industry - Special issue: Application of genetics algorithms in industry
An object-oriented intelligent disassembly sequence planner for maintenance
Computers in Industry
Learning to tell tales: a data-driven approach to story generation
ACL '09 Proceedings of the Joint Conference of the 47th Annual Meeting of the ACL and the 4th International Joint Conference on Natural Language Processing of the AFNLP: Volume 1 - Volume 1
Genetic algorithms and finite element coupling for mechanical optimization
Advances in Engineering Software
Design optimization application in accordance with product and process requirements
Advances in Engineering Software
Predicting protein subcellular locations for Gram-negative bacteria using neural networks ensemble
CIBCB'09 Proceedings of the 6th Annual IEEE conference on Computational Intelligence in Bioinformatics and Computational Biology
Capacity enhancement with relay station placement in wireless cooperative networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Sub-ontology modularization for large-scale web ontologies
IRI'09 Proceedings of the 10th IEEE international conference on Information Reuse & Integration
Active categorical perception in an evolved anthropomorphic robotic arm
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
A memetic algorithm for optimizing high-inclination multiple gravity-assist orbits
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
GAPK: genetic algorithms with prior knowledge for motif discovery in DNA sequences
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Avoiding premature convergence in estimation of distribution algorithms
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
On the role of information networks in logistics: an evolutionary approach with military scenarios
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
An integrated framework of hybrid evolutionary computations
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Adaptive plan system with genetic algorithm using the variable neighborhood range control
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Genetic network programming with reconstructed individuals
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Designing a multilayer microwave heating device using a multiobjective genetic algorithm
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Performance evaluation of a genetic algorithm for optimizing hierarchical menus
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Developing integrated fuzzy guidance law for aerodynamic homing missiles by genetic algorithm
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
A new differential evolution with wavelet theory based mutation operation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
A parallel genetic algorithm for protein folding prediction using the 3D-HP side chain model
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Analysis of microarray data using multiobjective variable string length genetic fuzzy clustering
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Optimal operation of pipeline systems using genetic algorithm
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Towards an evolved lower bound for the most circular partition of a square
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Viral infection + tropism for improving small population performance under noisy environment
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Dynamic search initialisation strategies for multi-objective optimisation in peer-to-peer networks
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
An analysis of heterogeneous cooperative algorithms
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Analyzing the probability of the optimum in EDAs based on Bayesian networks
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Differential migration: sensitivity analysis and comparison study
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Distributed genetic algorithm using automated adaptive migration
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Towards creative design using collaborative interactive genetic algorithms
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Cooperation in the context of sustainable search
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Real-coded genetic algorithm for parametric modelling of a TRMS
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Using genetic algorithms for the construction of a space mission automaton
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Generating optimised satellite payload operation schedules with evolutionary algorithms
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Risk minimization with self-organizing maps for mutual fund investment
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Constructing portfolio investment strategy based on time adapting genetic network programming
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Visualisation of building blocks in evolutionary algorithms
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Improving the success of recombination by varying broodsize and sibling rivalry
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Direct and explicit building blocks identification and composition algorithm
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Optimizing staff rosters for emergency shifts for doctors
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Multiobjective dispatch of hydrogenerating units using a two-step genetic algorithm method
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Generalized time related sequential association rule mining and traffic prediction
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Random search with species conservation for multimodal functions
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Shrinking neighborhood evolution: a novel stochastic algorithm for numerical optimization
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Optimum robot manipulator path generation using differential evolution
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Keystroke-Based User Identification on Smart Phones
RAID '09 Proceedings of the 12th International Symposium on Recent Advances in Intrusion Detection
Network Model and Optimization of Medical Waste Reverse Logistics by Improved Genetic Algorithm
ISICA '09 Proceedings of the 4th International Symposium on Advances in Computation and Intelligence
MuLVAT: A Video Annotation Tool Based on XML-Dictionaries and Shot Clustering
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part II
A-Teams and Their Applications
ICCCI '09 Proceedings of the 1st International Conference on Computational Collective Intelligence. Semantic Web, Social Networks and Multiagent Systems
A Hybrid Evolutionary Approach for the Protein Classification Problem
ICCCI '09 Proceedings of the 1st International Conference on Computational Collective Intelligence. Semantic Web, Social Networks and Multiagent Systems
EPIA '09 Proceedings of the 14th Portuguese Conference on Artificial Intelligence: Progress in Artificial Intelligence
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Pipelining Memetic Algorithms, Constraint Satisfaction, and Local Search for Course Timetabling
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
A New Method for Optimal Cropping Pattern
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Hybridization of Evolutionary Mechanisms for Feature Subset Selection in Unsupervised Learning
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
A Particle Swarm Optimization Method for Multimodal Optimization Based on Electrostatic Interaction
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Ranking Methods for Many-Objective Optimization
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Linear Wind Farm Layout Optimization through Computational Intelligence
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
An Analysis of Recombination in Some Simple Landscapes
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Evolutionary Design of Graph-Based Structures for Optical Computing
OSC '09 Proceedings of the 2nd International Workshop on Optical SuperComputing
Optimizing QoS-Aware Semantic Web Service Composition
ISWC '09 Proceedings of the 8th International Semantic Web Conference
Using Tabu Search to Estimate Software Development Effort
IWSM '09 /Mensura '09 Proceedings of the International Conferences on Software Process and Product Measurement
Application of Dynamic Programming to Solving K Postmen Chinese Postmen Problem
ICIC '07 Proceedings of the 3rd International Conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence
A Genetic Algorithm for Shortest Path Motion Problem in Three Dimensions
ICIC '07 Proceedings of the 3rd International Conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence
A Hybrid Extremal Optimisation Approach for the Bin Packing Problem
ACAL '09 Proceedings of the 4th Australian Conference on Artificial Life: Borrowing from Biology
CoXCS: A Coevolutionary Learning Classifier Based on Feature Space Partitioning
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
Multi-Objective Genetic Programming for Classification with Unbalanced Data
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
Effects of Diversity on Optimality in GA
AICI '09 Proceedings of the International Conference on Artificial Intelligence and Computational Intelligence
Comparison of Optimisation Algorithms for Deformable Template Matching
ISVC '09 Proceedings of the 5th International Symposium on Advances in Visual Computing: Part II
Pareto Optimal Based Evolutionary Approach for Solving Multi-Objective Facility Layout Problem
ICONIP '09 Proceedings of the 16th International Conference on Neural Information Processing: Part II
An Evolutionary Artificial Neural Network for Medical Pattern Classification
ICONIP '09 Proceedings of the 16th International Conference on Neural Information Processing: Part II
ICONIP '09 Proceedings of the 16th International Conference on Neural Information Processing: Part II
New Approaches to Design and Control of Time Limited Search Algorithms
PReMI '09 Proceedings of the 3rd International Conference on Pattern Recognition and Machine Intelligence
Multi-objective Evolutionary Feature Selection
PReMI '09 Proceedings of the 3rd International Conference on Pattern Recognition and Machine Intelligence
Robot Formations for Area Coverage
ICIRA '09 Proceedings of the 2nd International Conference on Intelligent Robotics and Applications
Multi-criteria genetic optimisation of the manoeuvres of a two-stage launcher
Information Sciences: an International Journal
Genetic programming for anticancer therapeutic response prediction using the NCI-60 dataset
Computers and Operations Research
Triangulation in decision support systems: Algorithms for product design
Decision Support Systems
Decision Support Systems - Special issue: Intelligence and security informatics
Bayesian wavelet denoising and evolutionary calibration
Digital Signal Processing
Adaptive minimum bit error rate beamforming assisted receiver for QPSK wireless communication
Digital Signal Processing
Wavelet denoising with evolutionary algorithms
Digital Signal Processing
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
Channel assignment in multi-radio wireless mesh networks: a graph-theoretic approach
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
Modelling and Simulation in Engineering
ACC'09 Proceedings of the 2009 conference on American Control Conference
Affine invariant watermarking algorithm using feature matching
Digital Signal Processing
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Hand & eye-vergence dual visual servoing to enhance observability and stability
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Autocorrelation properties of OFDM timing synchronization waveforms employing pilot subcarriers
EURASIP Journal on Wireless Communications and Networking - Special issue on synchronization in wireless communications
One-against-all-based multiclass SVM strategies applied to vehicle plate character recognition
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
A prime step in the time series forecasting with hybrid methods: the function choice
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Data classification with a generalized Gaussian components based density estimation algorithm
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Searching for microRNA prostate cancer target genes
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Real royal road functions-where crossover provably is essential
Discrete Applied Mathematics - Special issue: Boolean and pseudo-boolean funtions
Automatic Parameterisation of Stochastic Petri Net Models of Biological Networks
Electronic Notes in Theoretical Computer Science (ENTCS)
Concurrent Java Test Generation as a Search Problem
Electronic Notes in Theoretical Computer Science (ENTCS)
Coevolving and cooperating path planner for multiple unmanned air vehicles
Engineering Applications of Artificial Intelligence
Time-Invariant Dynamic Systems identification based on the qualitative features of the response
Engineering Applications of Artificial Intelligence
A grouping genetic algorithm for the microcell sectorization problem
Engineering Applications of Artificial Intelligence
A hybrid method for robust car plate character recognition
Engineering Applications of Artificial Intelligence
A class decomposition approach for GA-based classifiers
Engineering Applications of Artificial Intelligence
Optimal design of plant lighting system by genetic algorithms
Engineering Applications of Artificial Intelligence
Evaluating performance advantages of grouping genetic algorithms
Engineering Applications of Artificial Intelligence
An artificial intelligence approach to the efficiency improvement of a universal motor
Engineering Applications of Artificial Intelligence
Using a genetic algorithm to register an uncalibrated image pair to a 3D surface model
Engineering Applications of Artificial Intelligence
A comparison of machine-learning algorithms for dynamic scheduling of flexible manufacturing systems
Engineering Applications of Artificial Intelligence
A design process of switched Ethernet architectures according to real-time application constraints
Engineering Applications of Artificial Intelligence
Multiobjective evolution based fuzzy PI controller design for nonlinear systems
Engineering Applications of Artificial Intelligence
Environmental Modelling & Software
An evolutionary algorithm for order splitting with multiple transport alternatives
Expert Systems with Applications: An International Journal
Evolving neural network for printed circuit board sales forecasting
Expert Systems with Applications: An International Journal
Two-phase sub population genetic algorithm for parallel machine-scheduling problem
Expert Systems with Applications: An International Journal
Modeling human behavior in user-adaptive systems: Recent advances using soft computing techniques
Expert Systems with Applications: An International Journal
Finite Elements in Analysis and Design
User hints: a framework for interactive optimization
Future Generation Computer Systems
Neuro-genetic approach to multidimensional fuzzy reasoning for pattern classification
Fuzzy Sets and Systems
cDNA microarray image processing using fuzzy vector filtering framework
Fuzzy Sets and Systems
A hybrid promoter analysis methodology for prokaryotic genomes
Fuzzy Sets and Systems
Genetic fuzzy logic controller: an iterative evolution algorithm with new encoding method
Fuzzy Sets and Systems
A fuzzy-based lifetime extension of genetic algorithms
Fuzzy Sets and Systems
Genetic learning of fuzzy cognitive maps
Fuzzy Sets and Systems
Fuzzy shape based motion evaluation of left ventricle using genetic algorithm
Image and Vision Computing
Genetic algorithm based software integration with minimum software risk
Information and Software Technology
XQuery speedup by deploying structural redundancy in mapping XML into relations
Information and Software Technology
A robust blind sparse source separation algorithm using genetic algorithm to identify mixing matrix
SPPRA '07 Proceedings of the Fourth IASTED International Conference on Signal Processing, Pattern Recognition, and Applications
Text-dependent speaker verification using genetic algorithm and competitive learning neural network
SPPRA '07 Proceedings of the Fourth IASTED International Conference on Signal Processing, Pattern Recognition, and Applications
Using genetic algorithms for the full decompositon of sequential machines
MIC '07 Proceedings of the 26th IASTED International Conference on Modelling, Identification, and Control
An improved GA and a novel PSO-GA-based hybrid algorithm
Information Processing Letters
Search space division in GAs using phenotypic properties
Information Sciences: an International Journal
Simulated annealing based pattern classification
Information Sciences: an International Journal
A parallel implementation of genetic programming that achieves super-linear performance
Information Sciences: an International Journal
Knowledge base improvement through genetic algorithms
Information Sciences: an International Journal
Regression for ordinal variables without underlying continuous variables
Information Sciences: an International Journal
Hierarchical constraint transformation based on genetic optimization for analog system synthesis
Integration, the VLSI Journal
A genetic-fuzzy approach for mobile robot navigation among moving obstacles
International Journal of Approximate Reasoning
A proposal on reasoning methods in fuzzy rule-based classification systems
International Journal of Approximate Reasoning
Design of nearest neighbor classifiers: multi-objective approach
International Journal of Approximate Reasoning
Fuzzy adaptive search method for parallel genetic algorithm with island combination process
International Journal of Approximate Reasoning
The effect of varying the crossover rate in the evolution of bidding strategies
ACST '08 Proceedings of the Fourth IASTED International Conference on Advances in Computer Science and Technology
Oncogenes classification measured by microarray using Genetic Algorithms
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
Dependence modeling rule mining using multi-objective genetic algorithms
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
Using program data-state scarcity to guide automatic test data generation
Software Quality Control
Total Power Optimization for Combinational Logic Using Genetic Algorithms
Journal of Signal Processing Systems
A new path planning method based on vector models in a strait static environment
CCDC'09 Proceedings of the 21st annual international conference on Chinese Control and Decision Conference
Genetic algorithms for MLP neural network parameters optimization
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Cooperative bacterial foraging algorithm for global optimization
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Optimal path planning in rapid prototyping based on genetic algorithm
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Optimization of phase angle measurement unit placement
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Robust model for job shop scheduling with uncertain processing times
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Immune clonal selection algorithm for hybrid flow-shop scheduling problem
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
RFID networks planning using a multi-swarm optimizer
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Structure of morphologically expanded queries: A genetic algorithm approach
Data & Knowledge Engineering
Information Sciences: an International Journal
Learning with generalization capability by kernel methods of bounded complexity
Journal of Complexity
Genetic algorithms for modelling and optimisation
Journal of Computational and Applied Mathematics - Special issue: Mathematics applied to immunology
Capturing planar shapes by approximating their outlines
Journal of Computational and Applied Mathematics
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
On multivariate genetic systems
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
Learning flexible structured linguistic fuzzy rules for Mamdani fuzzy systems
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
A generalized c-means clustering model using optimized via evolutionary computation
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
Control of the TORA system using SIRMs based type-2 fuzzy logic
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
Gait learning method for quadrupedal robot based on subjective human feeling
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
Crossing genetic and swarm intelligence algorithms to generate logic circuits
WSEAS Transactions on Computers
Improved mining of software complexity data on evolutionary filtered training sets
WSEAS Transactions on Information Science and Applications
Applications of genetic algorithms
WSEAS Transactions on Information Science and Applications
Searching minimal fractional graph factors by lattice based evolution
WSEAS Transactions on Information Science and Applications
SARNOFF'09 Proceedings of the 32nd international conference on Sarnoff symposium
Generalized discrete Fourier transform: theory and design methods
SARNOFF'09 Proceedings of the 32nd international conference on Sarnoff symposium
IEEE Transactions on Circuits and Systems for Video Technology
Multiobjective genetic algorithm-based fuzzy clustering of categorical attributes
IEEE Transactions on Evolutionary Computation
Reliability-based optimization using evolutionary algorithms
IEEE Transactions on Evolutionary Computation
Facetwise analysis of XCS for problems with class imbalances
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Neural Networks
A novel evolutionary approach to image enhancement filter design: method and applications
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Training an active random field for real-time image denoising
IEEE Transactions on Image Processing
A general and formal methodology to design stable nonlinear fuzzy control systems
IEEE Transactions on Fuzzy Systems
IEEE Transactions on Fuzzy Systems
Cognitive radio spectrum allocation using evolutionary algorithms
IEEE Transactions on Wireless Communications
An energy efficient routing algorithm based on genetic algorithm for ad hoc networks
Annales UMCS, Informatica
A Backstepping Approach to Ship Course Control
International Journal of Applied Mathematics and Computer Science
Optimization Schemes For Wireless Sensor Network Localization
International Journal of Applied Mathematics and Computer Science
IEEE Computational Intelligence Magazine
Evolving fragments to lead molecules
ISB '10 Proceedings of the International Symposium on Biocomputing
Interfaces
Control and Intelligent Systems
Robust control of interval plants using genetic algorithms
Control and Intelligent Systems
MS '08 Proceedings of the 19th IASTED International Conference on Modelling and Simulation
SE '08 Proceedings of the IASTED International Conference on Software Engineering
Image classification and processing using modified parallel-ACTIT
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Dynamic heuristics for the generalized job-shop scheduling problem
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Hybrid intelligent systems applied to the pursuit-evasion game
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
A novel hybrid learning technique applied to a self-learning multi-robot system
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
A multiagent architecture for solving combinatorial optimization problems through metaheuristics
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Evolutionary algorithm sandbox: a web-based graphical user interface for evolutionary algorithms
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Constructions of equiangular tight frames with genetic algorithms
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Biogeography-based optimization and the solution of the power flow problem
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Optimal RFID networks scheduling using genetic algorithm and swarm intelligence
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
A fitness-sharing based genetic algorithm for collaborative multi robot localization
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Cellular learning automata with multiple learning automata in each cell and its applications
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
A multiagent evolutionary algorithm for combinatorial optimization problems
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
GA-stacking: Evolutionary stacked generalization
Intelligent Data Analysis
Evolutionary algorithm for PCB inspection
International Journal of Knowledge-based and Intelligent Engineering Systems
To explore or to exploit: An entropy-driven approach for evolutionary algorithms
International Journal of Knowledge-based and Intelligent Engineering Systems
International Journal of Computers and Applications
Improved Resulted Word Counts Optimizer for Automatic Image Annotation Problem
Fundamenta Informaticae - Advances in Artificial Intelligence and Applications
An optimization approach for the job shop scheduling problem
MATH'09 Proceedings of the 14th WSEAS International Conference on Applied mathematics
Bio-inspired computing for launch vehicle design and trajectory optimization
CISDA'09 Proceedings of the Second IEEE international conference on Computational intelligence for security and defense applications
Minimizing risk on a fleet mix problem with a multiobjective evolutionary algorithm
CISDA'09 Proceedings of the Second IEEE international conference on Computational intelligence for security and defense applications
Optimizing anomaly detector deployment under evolutionary black-box vulnerability testing
CISDA'09 Proceedings of the Second IEEE international conference on Computational intelligence for security and defense applications
Sphere decoding assisted GA-based multiuser detection for synchronous LAS multicode system
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Resource allocation for power-line OFDM system based on genetic algorithm
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Improving artificial neural networks based on hybrid genetic algorithms
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Correlation aware synchronization for near real time decision support systems
Proceedings of the 13th International Conference on Extending Database Technology
Emergent oscillations in evolutionary simulations: Oscillating networks increase switching efficacy
Journal of Cognitive Neuroscience
Heuristic optimization of RC bridge piers with rectangular hollow sections
Computers and Structures
Engineering Applications of Artificial Intelligence
Genetic algorithms for a single-track vehicle autonomous pilot
Control and Intelligent Systems
Modeling external network behavior by using internal measurements
Journal of Parallel and Distributed Computing
A multi-objective GA to demand-side management in an automated warehouse
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
On Performance of Node Placement Approaches for Hierarchical Heterogeneous Sensor Networks
Mobile Networks and Applications
Reconfigurable FPGA using genetic algorithm
Proceedings of the International Conference and Workshop on Emerging Trends in Technology
Proceedings of the International Conference and Workshop on Emerging Trends in Technology
Proceedings of the International Conference and Workshop on Emerging Trends in Technology
Effect of parent selection and sibling rivalry on building-block assembly
Natural Computing: an international journal
Review: Calculation of soil electrical conductivity using a genetic algorithm
Computers and Electronics in Agriculture
A hybrid model based on rough sets theory and genetic algorithms for stock price forecasting
Information Sciences: an International Journal
Scheduling an operating theatre under human resource constraints
Computers and Industrial Engineering
A planning and scheduling problem for an operating theatre using an open scheduling strategy
Computers and Industrial Engineering
Elite guided steady-state genetic algorithm for minimizing total tardiness in flowshops
Computers and Industrial Engineering
Modeling data envelopment analysis by chance method in hybrid uncertain environments
Mathematics and Computers in Simulation
The traveling salesman: computational solutions for TSP applications
The traveling salesman: computational solutions for TSP applications
Modeling of silicon oxynitride etch microtrenching using genetic algorithm and neural network
Microelectronic Engineering
Using the Particle Filter Approach to Building Partial Correspondences Between Shapes
International Journal of Computer Vision
Evolving descriptors for texture segmentation
Pattern Recognition
Genetic algorithms for video segmentation
Pattern Recognition
Bayesian network classifiers versus selective k-NN classifier
Pattern Recognition
Evolutionary induction of stochastic context free grammars
Pattern Recognition
Identifying gene regulatory networks using evolutionary algorithms
Journal of Computing Sciences in Colleges
An RFID network design methodology for asset tracking in healthcare
Decision Support Systems
Identification of Bayesian posteriors for coefficients of chaos expansions
Journal of Computational Physics
Applying the genetic encoded conceptual graph to grouping learning
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
UAV navigation by an expert system for contaminant mapping with a genetic algorithm
Expert Systems with Applications: An International Journal
A review of log-polar imaging for visual perception in robotics
Robotics and Autonomous Systems
Real coded genetic algorithm for optimal parameters selection in circular Mellin feature extractors
International Journal of Computers and Applications
Natural selection and mating constraints with genetic algorithms
International Journal of Modelling and Simulation
Relabeling algorithm for retrieval of noisy instances and improving prediction quality
Computers in Biology and Medicine
Maximum cut in fuzzy nature: Models and algorithms
Journal of Computational and Applied Mathematics
A model of portfolio optimization using time adapting genetic network programming
Computers and Operations Research
Metaheuristics for assortment problems with multiple quality levels
Computers and Operations Research
The production scheduling problem in a multi-page invoice printing system
Computers and Operations Research
Information Sciences: an International Journal
A new heuristic approach for non-convex optimization problems
Information Sciences: an International Journal
Associating visual textures with human perceptions using genetic algorithms
Information Sciences: an International Journal
Review article: A review of soft computing applications in supply chain management
Applied Soft Computing
Dynamics of fitness sharing evolutionary algorithms for coevolution of multiple species
Applied Soft Computing
A hybrid optimization strategy for simplifying the solutions of support vector machines
Pattern Recognition Letters
Review: Development of soft computing and applications in agricultural and biological engineering
Computers and Electronics in Agriculture
Computers and Industrial Engineering
Computers and Industrial Engineering
Heuristics for multi-round divisible loads scheduling with limited memory
Parallel Computing
Overhead reduction in a distributed path management system
Computer Networks: The International Journal of Computer and Telecommunications Networking
International Journal of Artificial Intelligence and Soft Computing
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Experimental evaluation of an automatic parameter setting system
Expert Systems with Applications: An International Journal
Multi groups cooperation based symbiotic evolution for TSK-type neuro-fuzzy systems design
Expert Systems with Applications: An International Journal
Predicting saturates of sour vacuum gas oil using artificial neural networks and genetic algorithms
Expert Systems with Applications: An International Journal
Strategic group identification using evolutionary computation
Expert Systems with Applications: An International Journal
Computers in Biology and Medicine
Evolutionary Model Type Selection for Global Surrogate Modeling
The Journal of Machine Learning Research
PROSOPO - a face image synthesis system
PCI'01 Proceedings of the 8th Panhellenic conference on Informatics
Recombinant rule selection in evolutionary algorithm for fuzzy path planner of robot soccer
KI'06 Proceedings of the 29th annual German conference on Artificial intelligence
ERA: an algorithm for reducing the epistasis of SAT problems
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Scalability of selectorecombinative genetic algorithms for problems with tight linkage
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
A survey on chromosomal structures and operators for exploiting topological linkages of genes
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Mating restriction and niching pressure: results from agents and implications for general EC
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
EC theory: a unified viewpoint
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Real royal road functions for constant population size
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
The virtual gene genetic algorithm
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
A specialized island model and its application in multiobjective optimization
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Bounding the population size in XCS to ensure reproductive opportunities
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Towards building block propagation in XCS: a negative result and its implications
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Automatic design synthesis and optimization of component-based systems by evolutionary algorithms
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Congressional districting using a TSP-based genetic algorithm
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Simultaneous assembly planning and assembly system design using multi-objective genetic algorithms
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Web-page color modification for barrier-free color vision with genetic algorithm
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Optimizing the order of taxon addition in phylogenetic tree construction using genetic algorithm
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Finding the optimal gene order in displaying microarray data
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Using genetic algorithms for data mining optimization in an educational web-based system
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Mining comprehensible clustering rules with an evolutionary algorithm
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
An evolutionary approach for molecular docking
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Parallel genetic algorithms for the tuning of a fuzzy AQM controller
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
Secure intelligent agents based on formal description techniques
AWIC'03 Proceedings of the 1st international Atlantic web intelligence conference on Advances in web intelligence
Exporting vector muscles for facial animation
SG'03 Proceedings of the 3rd international conference on Smart graphics
Just what are building blocks?
FOGA'07 Proceedings of the 9th international conference on Foundations of genetic algorithms
Sufficient conditions for coarse-graining evolutionary dynamics
FOGA'07 Proceedings of the 9th international conference on Foundations of genetic algorithms
Decomposition of fitness functions in random heuristic search
FOGA'07 Proceedings of the 9th international conference on Foundations of genetic algorithms
Self-organizing hybrid neurofuzzy networks
ICCS'03 Proceedings of the 2003 international conference on Computational science
FOM: a framework for metaheuristic optimization
ICCS'03 Proceedings of the 2003 international conference on Computational science
An intelligent system for supporting personal creativity based on genetic algorithm
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
Fuzzy genetic system for modelling investment portfolio
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
A selection scheme for excluding defective rules of evolutionary fuzzy path planning
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
The design of fuzzy controller by means of genetic algorithms and NFN-based estimation technique
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
Genetic algorithm based multi-document summarization
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
PRICAI'06 Proceedings of the 9th Pacific Rim international conference on Artificial intelligence
A fuzzy-based meta-model for reasoning about the number of software defects
IFSA'03 Proceedings of the 10th international fuzzy systems association World Congress conference on Fuzzy sets and systems
DOC: a distributed object caching system for information infrastructure
HSI'03 Proceedings of the 2nd international conference on Human.society@internet
Facial feature point extraction using the adaptive mean shape in active shape model
MIRAGE'07 Proceedings of the 3rd international conference on Computer vision/computer graphics collaboration techniques
Use of multiple contexts for real time face identification
MIRAGE'07 Proceedings of the 3rd international conference on Computer vision/computer graphics collaboration techniques
The maximin fitness function: multi-objective city and regional planning
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
IS-PAES: a constraint-handling technique based on multiobjective optimization concepts
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
A population and interval constraint propagation algorithm
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Covering pareto sets by multilevel evolutionary subdivision techniques
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Multi-level multi-objective genetic algorithm using entropy to preserve diversity
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Schemata-driven multi-objective optimization
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
A comparative study of selective breeding strategies in a multiobjective genetic algorithm
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
An empirical study on the effect of mating restriction on the search ability of EMO algorithms
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Experimental genetic operators analysis for the multi-objective permutation flowshop
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Non-invasive Atrial disease diagnosis using decision rules: a multi-objective optimization approach
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Safety systems optimum design by multicriteria evolutionary algorithms
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Applications of a multi-objective genetic algorithm to engineering design problems
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Genetic methods in multi-objective optimization of structures with an equality constraint on volume
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
AI'03 Proceedings of the 16th Canadian society for computational studies of intelligence conference on Advances in artificial intelligence
SOFT-CBR: a self-optimizing fuzzy tool for case-based reasoning
ICCBR'03 Proceedings of the 5th international conference on Case-based reasoning: Research and Development
Loop parallelization in multi-dimensional cartesian space
PSI'06 Proceedings of the 6th international Andrei Ershov memorial conference on Perspectives of systems informatics
Selecting diversifying heuristics for cluster ensembles
MCS'07 Proceedings of the 7th international conference on Multiple classifier systems
Classifier combining rules under independence assumptions
MCS'07 Proceedings of the 7th international conference on Multiple classifier systems
A game-theoretic memory mechanism for coevolution
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Use of multiobjective optimization concepts to handle constraints in single-objective optimization
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
A hybrid genetic algorithm for the capacitated vehicle routing problem
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
An evolutionary approach to capacitated resource distribution by a multiple-agent team
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
An optimization solution for packet scheduling: a pipeline-based genetic algorithm accelerator
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Generation and optimization of train timetables using coevolution
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Chromosome reuse in genetic algorithms
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Are multiple runs of genetic algorithms better than one?
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
A hybrid genetic algorithm for the hexagonal tortoise problem
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Normalization in genetic algorithms
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Natural coding: a more efficient representation for evolutionary learning
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Distributed probabilistic model-building genetic algorithm
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Designing a hybrid genetic algorithm for the linear ordering problem
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
A similarity-based mating scheme for evolutionary multiobjective optimization
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
Use of learning classifier system for inferring natural language grammar
IWLCS'03-05 Proceedings of the 2003-2005 international conference on Learning classifier systems
Binary rule encoding schemes: a study using the compact classifier system
IWLCS'03-05 Proceedings of the 2003-2005 international conference on Learning classifier systems
IWLCS'03-05 Proceedings of the 2003-2005 international conference on Learning classifier systems
Improving the performance of a pittsburgh learning classifier system using a default rule
IWLCS'03-05 Proceedings of the 2003-2005 international conference on Learning classifier systems
Hypothesis testing with classifier systems for rule-based risk prediction
EvoBIO'07 Proceedings of the 5th European conference on Evolutionary computation, machine learning and data mining in bioinformatics
Characterising DNA/RNA signals with crisp hypermotifs: a case study on core promoters
EvoBIO'07 Proceedings of the 5th European conference on Evolutionary computation, machine learning and data mining in bioinformatics
Theory of coevolutionary genetic algorithms
ISPA'03 Proceedings of the 2003 international conference on Parallel and distributed processing and applications
Optimization of workflow resources allocation with cost constraint
CSCWD'06 Proceedings of the 10th international conference on Computer supported cooperative work in design III
A genetic algorithm for the resource renting problem with minimum and maximum time lags
EvoCOP'07 Proceedings of the 7th European conference on Evolutionary computation in combinatorial optimization
Crossover operators for the car sequencing problem
EvoCOP'07 Proceedings of the 7th European conference on Evolutionary computation in combinatorial optimization
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
EMOPSO: a multi-objective particle swarm optimizer with emphasis on efficiency
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
ParadisEO-MOEO: a framework for evolutionary multi-objective optimization
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
A multi-objective evolutionary approach for phylogenetic inference
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
MOGA-II for an automotive cooling duct optimization on distributed resources
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
Robust multi-objective optimization in high dimensional spaces
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
multi-multi-objective optimization problem and its solution by a MOEA
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
The multiple multi objective problem: definition, solution and evaluation
EMO'07 Proceedings of the 4th international conference on Evolutionary multi-criterion optimization
New factorial design theoretic crossover operator for parametrical problem
EuroGP'03 Proceedings of the 6th European conference on Genetic programming
Parallel programs are more evolvable than sequential programs
EuroGP'03 Proceedings of the 6th European conference on Genetic programming
Reducing population size while maintaining diversity
EuroGP'03 Proceedings of the 6th European conference on Genetic programming
Experimental design based multi-parent crossover operator
EuroGP'03 Proceedings of the 6th European conference on Genetic programming
EuroGP'03 Proceedings of the 6th European conference on Genetic programming
Disease modeling using evolved discriminate function
EuroGP'03 Proceedings of the 6th European conference on Genetic programming
Cooperative ant colonies for solving the maximum weighted satisfiability problem
IWANN'03 Proceedings of the Artificial and natural neural networks 7th international conference on Computational methods in neural modeling - Volume 1
On the evolutionary inference of temporal Boolean networks
IWANN'03 Proceedings of the Artificial and natural neural networks 7th international conference on Computational methods in neural modeling - Volume 1
Reverse engineering the visual system via genetic programs
FAC'07 Proceedings of the 3rd international conference on Foundations of augmented cognition
Evolutionary testing: a case study
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
Safety critical software process improvement by multi-objective optimization algorithms
ICSP'07 Proceedings of the 2007 international conference on Software process
Empirical analysis of GP tree-fragments
EuroGP'07 Proceedings of the 10th European conference on Genetic programming
The holland broadcast language and the modeling of biochemical networks
EuroGP'07 Proceedings of the 10th European conference on Genetic programming
Evolution of signalling in a group of robots controlled by dynamic neural networks
SAB'06 Proceedings of the 2nd international conference on Swarm robotics
Scalability in evolved neurocontrollers that guide a swarm of robots in a navigation task
SAB'06 Proceedings of the 2nd international conference on Swarm robotics
Multiple genetic snakes for people segmentation in video sequences
SCIA'03 Proceedings of the 13th Scandinavian conference on Image analysis
Computational challenges in multiple wavetable interpolation synthesis
ICCS'03 Proceedings of the 1st international conference on Computational science: PartI
A new approach to survivability of connection oriented networks
ICCS'03 Proceedings of the 1st international conference on Computational science: PartI
NMA'06 Proceedings of the 6th international conference on Numerical methods and applications
Design of equiripple 2-D linear-phase FIR digital filters using genetic algorithm
NMA'06 Proceedings of the 6th international conference on Numerical methods and applications
NMA'06 Proceedings of the 6th international conference on Numerical methods and applications
Density-sensitive evolutionary clustering
PAKDD'07 Proceedings of the 11th Pacific-Asia conference on Advances in knowledge discovery and data mining
ARC'07 Proceedings of the 3rd international conference on Reconfigurable computing: architectures, tools and applications
PRICAI'00 Proceedings of the 6th Pacific Rim international conference on Artificial intelligence
Genetic algorithm with knowledge-based encoding for interactive fashion design
PRICAI'00 Proceedings of the 6th Pacific Rim international conference on Artificial intelligence
Designing wastewater collection systems using genetic algorithms
PRICAI'00 Proceedings of the 6th Pacific Rim international conference on Artificial intelligence
Optimal design of neural nets using hybrid algorithms
PRICAI'00 Proceedings of the 6th Pacific Rim international conference on Artificial intelligence
A genetic algorithms approach to ILP
ILP'02 Proceedings of the 12th international conference on Inductive logic programming
Genetic algorithms on NK-landscapes: effects of selection, drift, mutation, and recombination
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Constrained coverage optimisation for mobile cellular networks
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Multiple genetic snakes for bone segmentation
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Mobile robot sensor fusion using flies
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Restoration of old documents with genetic algorithms
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
ArtiE-fract: the artist's viewpoint
EvoWorkshops'03 Proceedings of the 2003 international conference on Applications of evolutionary computing
Evolving multiplier circuits by training set and training vector partitioning
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
Evolution of self-diagnosing hardware
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
Routing of embryonic arrays using genetic algorithms
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
Using genetic programming to generate protocol adaptors for interprocess communication
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
CIC'02 Proceedings of the 7th CDMA international conference on Mobile communications
ICANN/ICONIP'03 Proceedings of the 2003 joint international conference on Artificial neural networks and neural information processing
An AI-based system for pricing diverse products and services
Knowledge-Based Systems
Two coding based adaptive parallel co-genetic algorithm with double agents structure
Engineering Applications of Artificial Intelligence
A wistech paradigm for intelligent systems
Transactions on rough sets VI
Exploring macroevolutionary algorithms: some extensions and improvements
IWANN'07 Proceedings of the 9th international work conference on Artificial neural networks
Genetic algorithm in the optimization of the acoustic attenuation systems
IWANN'07 Proceedings of the 9th international work conference on Artificial neural networks
SCIA'07 Proceedings of the 15th Scandinavian conference on Image analysis
Modeling of H.264 high definition video traffic using discrete-time semi-Markov processes
ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks
ITC20'07 Proceedings of the 20th international teletraffic conference on Managing traffic performance in converged networks
Automatic fingerprints image generation using evolutionary algorithm
IEA/AIE'07 Proceedings of the 20th international conference on Industrial, engineering, and other applications of applied intelligent systems
Coping with unconsidered context of formalized knowledge
CONTEXT'07 Proceedings of the 6th international and interdisciplinary conference on Modeling and using context
Parameter determination of induction machines by hybrid genetic algorithms
KES'07/WIRN'07 Proceedings of the 11th international conference, KES 2007 and XVII Italian workshop on neural networks conference on Knowledge-based intelligent information and engineering systems: Part I
Evolution of acoustic communication between two cooperating robots
ECAL'07 Proceedings of the 9th European conference on Advances in artificial life
From solitary to collective behaviours: decision making and cooperation
ECAL'07 Proceedings of the 9th European conference on Advances in artificial life
The creativity potential within evolutionary algorithms
ECAL'07 Proceedings of the 9th European conference on Advances in artificial life
MBEANN: mutation-based evolving artificial neural networks
ECAL'07 Proceedings of the 9th European conference on Advances in artificial life
Combination of rough sets and genetic algorithms for text classification
AIS-ADM'07 Proceedings of the 2nd international conference on Autonomous intelligent systems: agents and data mining
Analytic network process for pattern classification problems using genetic algorithms
Information Sciences: an International Journal
Genetic algorithm for test pattern generator design
Applied Intelligence
Constraint-based agents: an architecture for constraint-based modeling and local-search-based reasoning for planning and scheduling in open and dynamic worlds
Machine Vision and Applications
Evolutionary testing of object-oriented software
Proceedings of the 2010 ACM Symposium on Applied Computing
Evolutionary model tree induction
Proceedings of the 2010 ACM Symposium on Applied Computing
Query-oriented clustering: a multi-objective approach
Proceedings of the 2010 ACM Symposium on Applied Computing
Optimal query complexity bounds for finding graphs
Artificial Intelligence
Pattern Recognition Letters
Three-stage motion deblurring from a video
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part II
Discovering promising regions to help global numerical optimization algorithms
MICAI'07 Proceedings of the artificial intelligence 6th Mexican international conference on Advances in artificial intelligence
A word equation solver based on Levensthein distance
MICAI'07 Proceedings of the artificial intelligence 6th Mexican international conference on Advances in artificial intelligence
Evolutionary feature and parameter selection in support vector regression
MICAI'07 Proceedings of the artificial intelligence 6th Mexican international conference on Advances in artificial intelligence
Evolved kernel method for time series
MICAI'07 Proceedings of the artificial intelligence 6th Mexican international conference on Advances in artificial intelligence
Real-valued negative selection algorithm with a Quasi-Monte Carlo genetic detector generation
ICARIS'07 Proceedings of the 6th international conference on Artificial immune systems
Comparison of simple encoding schemes in GA's for the motif finding problem: preliminary results
BSB'07 Proceedings of the 2nd Brazilian conference on Advances in bioinformatics and computational biology
BSB'07 Proceedings of the 2nd Brazilian conference on Advances in bioinformatics and computational biology
Designing modular artificial neural network through evolution
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Evolutionary multi-objective optimization of spiking neural networks
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Integrate-and-fire neural networks with monosynaptic-like correlated activity
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
ACIVS'07 Proceedings of the 9th international conference on Advanced concepts for intelligent vision systems
ISICA'07 Proceedings of the 2nd international conference on Advances in computation and intelligence
Cryptanalysis of two-round DES using genetic algorithms
ISICA'07 Proceedings of the 2nd international conference on Advances in computation and intelligence
Intrinsic evolution of frequency splitter with a new analog EHW platform
ISICA'07 Proceedings of the 2nd international conference on Advances in computation and intelligence
Using instruction matrix based genetic programming to evolve programs
ISICA'07 Proceedings of the 2nd international conference on Advances in computation and intelligence
A new recurring multistage evolutionary algorithm for solving problems efficiently
IDEAL'07 Proceedings of the 8th international conference on Intelligent data engineering and automated learning
Analyzing the influence of overconfident investors on financial markets through agent-based model
IDEAL'07 Proceedings of the 8th international conference on Intelligent data engineering and automated learning
An evolution of geometric structures algorithm for the automatic classification of HRR radar targets
IDEAL'07 Proceedings of the 8th international conference on Intelligent data engineering and automated learning
Hybrid cross-entropy method/Hopfield neural network for combinatorial optimization problems
IDEAL'07 Proceedings of the 8th international conference on Intelligent data engineering and automated learning
Content-based image retrieval by combining genetic algorithm and support vector machine
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Parameter identification of bilinear system based on genetic algorithm
LSMS'07 Proceedings of the Life system modeling and simulation 2007 international conference on Bio-Inspired computational intelligence and applications
A genetic algorithm for the quadratic multiple knapsack problem
BVAI'07 Proceedings of the 2nd international conference on Advances in brain, vision and artificial intelligence
Drawing a figure in a two-dimensional plane for a qualitative representation
COSIT'07 Proceedings of the 8th international conference on Spatial information theory
Generalized schemata theorem incorporating twin removal for protein structure prediction
PRIB'07 Proceedings of the 2nd IAPR international conference on Pattern recognition in bioinformatics
Rough sets and fuzzy sets theory applied to the sequential medical diagnosis
PRIB'07 Proceedings of the 2nd IAPR international conference on Pattern recognition in bioinformatics
HMM parameter estimation with genetic algorithm for handwritten word recognition
PReMI'07 Proceedings of the 2nd international conference on Pattern recognition and machine intelligence
Mechanisms for evolutionary reincarnation
ACAL'07 Proceedings of the 3rd Australian conference on Progress in artificial life
Enhancements to extremal optimisation for generalised assignment
ACAL'07 Proceedings of the 3rd Australian conference on Progress in artificial life
An efficient segmentation technique for known touching objects using a genetic algorithm approach
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
AAECC'07 Proceedings of the 17th international conference on Applied algebra, algebraic algorithms and error-correcting codes
Symmetry at the genotypic level and the simple inversion operator
EPIA'07 Proceedings of the aritficial intelligence 13th Portuguese conference on Progress in artificial intelligence
Memes, self-generation and nurse rostering
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
Linear linkage encoding in grouping problems: applications on graph coloring and timetabling
PATAT'06 Proceedings of the 6th international conference on Practice and theory of automated timetabling VI
ICHIT'06 Proceedings of the 1st international conference on Advances in hybrid information technology
Integration of artificial market simulation and text mining for market analysis
ICHIT'06 Proceedings of the 1st international conference on Advances in hybrid information technology
RSFDGrC'03 Proceedings of the 9th international conference on Rough sets, fuzzy sets, data mining, and granular computing
Selective ensemble of decision trees
RSFDGrC'03 Proceedings of the 9th international conference on Rough sets, fuzzy sets, data mining, and granular computing
A modified particle swarm optimizer using an adaptive dynamic weight scheme
ICDHM'07 Proceedings of the 1st international conference on Digital human modeling
A hybrid genetic-neural front-end extension for robust speech recognition over telephone lines
NOLISP'07 Proceedings of the 2007 international conference on Advances in nonlinear speech processing
Channel assignment in multimedia cellular networks
ICDCN'08 Proceedings of the 9th international conference on Distributed computing and networking
Solving channel borrowing problem with coevolutionary genetic algorithms
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Evolutionary algorithm with forced variation in multi-dimensional non-stationary environment
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Expert Systems with Applications: An International Journal
Computers in Biology and Medicine
Design of gate array circuits using evolutionary algorithms
ARCS'08 Proceedings of the 21st international conference on Architecture of computing systems
A self-learning optimization technique for topology design of computer networks
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
Deterministic test pattern generator design
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
An evolutionary framework for colorimetric characterization of scanners
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
A GA-based feature selection algorithm for remote sensing images
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
An evolutionary approach for ontology driven image interpretation
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
A fuzzy hybrid method for image decomposition problem
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
Computing surrogate constraints for multidimensional Knapsack problems using evolution strategies
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
A study of some implications of the no free lunch theorem
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
Decentralized evolutionary optimization approach to the p-median problem
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
Genetic computation of road network design and pricing Stackelberg games with multi-class users
Evo'08 Proceedings of the 2008 conference on Applications of evolutionary computing
Knowledge-based genetic algorithms
RSKT'08 Proceedings of the 3rd international conference on Rough sets and knowledge technology
ICIC'09 Proceedings of the Intelligent computing 5th international conference on Emerging intelligent computing technology and applications
Feature extraction and classification by genetic programming
ICVS'08 Proceedings of the 6th international conference on Computer vision systems
Simulated evolution under multiple criteria conditions revisited
WCCI'08 Proceedings of the 2008 IEEE world conference on Computational intelligence: research frontiers
A gender-based genetic algorithm for the automatic configuration of algorithms
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
QoS-based service provision schemes and plan durability in service composition
DAIS'08 Proceedings of the 8th IFIP WG 6.1 international conference on Distributed applications and interoperable systems
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
Parallel probabilistic model-building genetic algorithms with elitism
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Identification of Full and Partial Class Relevant Genes
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Grid computing: experiment management, tool integration, and scientific workflows
Grid computing: experiment management, tool integration, and scientific workflows
Integer optimization by local search: a domain-independent approach
Integer optimization by local search: a domain-independent approach
Searching for significant word associations in text documents using genetic algorithms
CICLing'03 Proceedings of the 4th international conference on Computational linguistics and intelligent text processing
An online EHW pattern recognition system applied to sonar spectrum classification
ICES'07 Proceedings of the 7th international conference on Evolvable systems: from biology to hardware
Steps forward to evolve bio-inspired embryonic cell-based electronic systems
ICES'07 Proceedings of the 7th international conference on Evolvable systems: from biology to hardware
ICES'07 Proceedings of the 7th international conference on Evolvable systems: from biology to hardware
Optimization of menu layouts by means of genetic algorithms
EvoCOP'08 Proceedings of the 8th European conference on Evolutionary computation in combinatorial optimization
BPM'07 Proceedings of the 5th international conference on Business process management
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Self-organization and evolution combined to address the vehicle routing problem
EA'07 Proceedings of the Evolution artificielle, 8th international conference on Artificial evolution
Adaptive and assortative mating scheme for evolutionary multi-objective algorithms
EA'07 Proceedings of the Evolution artificielle, 8th international conference on Artificial evolution
A genetic algorithm for generating improvised music
EA'07 Proceedings of the Evolution artificielle, 8th international conference on Artificial evolution
Self-adaptive genetic algorithm and application in landslide harnessing
IITA'09 Proceedings of the 3rd international conference on Intelligent information technology application
New upper bounds for the multi-depot capacitated arc routing problem
International Journal of Metaheuristics
GA-SVM based framework for time series forecasting
ICNC'09 Proceedings of the 5th international conference on Natural computation
Journal of Network and Computer Applications
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 5
Automatic fuzzy rules generation using fuzzy genetic algorithm
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 6
Stochastic approximation driven particle swarm optimization
IIT'09 Proceedings of the 6th international conference on Innovations in information technology
Example-based robust DB-outlier detection for high dimensional data
DASFAA'08 Proceedings of the 13th international conference on Database systems for advanced applications
ICIC'09 Proceedings of the 5th international conference on Emerging intelligent computing technology and applications
ICCSA'07 Proceedings of the 2007 international conference on Computational science and Its applications - Volume Part II
Analysis of the Effectiveness of the Genetic Algorithms based on Extraction of Association Rules
Fundamenta Informaticae - Intelligent Data Analysis in Granular Computing
Stochastic simulation of inherited kinship-driven altruism
Adaptive agents and multi-agent systems
Intelligent mobile manipulators in industrial applications: experiences and challenges
50 years of artificial intelligence
Automation of the volumetric models construction
Heterogeneous objects modelling and applications
Evolutionary rough k-medoid clustering
Transactions on rough sets VIII
Solving economic dispatch problems with improved harmony search
EE'10 Proceedings of the 5th IASME/WSEAS international conference on Energy & environment
Optimal reactive power planning of doubly fed induction generators using genetic algorithms
EE'10 Proceedings of the 5th IASME/WSEAS international conference on Energy & environment
An optimization of granular network by evolutionary methods
AIKED'10 Proceedings of the 9th WSEAS international conference on Artificial intelligence, knowledge engineering and data bases
A new genetic coding for job shop scheduling problem considering geno type and pheno type
CEA'10 Proceedings of the 4th WSEAS international conference on Computer engineering and applications
Feature selection for Bayesian network classifiers using the MDL-FS score
International Journal of Approximate Reasoning
Genetic algorithms with immigrants schemes for dynamic multicast problems in mobile ad hoc networks
Engineering Applications of Artificial Intelligence
Genetic algorithm with a hybrid select mechanism for fractal image compression
Digital Signal Processing
Efficient traffic loss evaluation for transport backbone networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Optimization of video compression parameters through genetic algorithms
Companion Proceedings of the XIV Brazilian Symposium on Multimedia and the Web
Agent-based evolutionary optimisation of trading strategies
International Journal of Intelligent Information and Database Systems
A novel strategy for multi-resource load balancing in agent-based systems
International Journal of Intelligent Information and Database Systems
A genetic algorithm that simulates social behaviour
International Journal of Internet Technology and Secured Transactions
Minimising the delta test for variable selection in regression problems
International Journal of High Performance Systems Architecture
Interactive evolutionary computation for robot design support system
CIRA'09 Proceedings of the 8th IEEE international conference on Computational intelligence in robotics and automation
Evolution of co-operative communication signals in artificial societies
CIRA'09 Proceedings of the 8th IEEE international conference on Computational intelligence in robotics and automation
Adaptive message routing with QoS support in vehicular ad hoc networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Design of signal constellation rearrangement (CoRe) for multiple relay links
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
High-speed FPGA-based implementations of a genetic algorithm
SAMOS'09 Proceedings of the 9th international conference on Systems, architectures, modeling and simulation
Lexicographic multi-objective evolutionary induction of decision trees
International Journal of Bio-Inspired Computation
Ensemble neural networks with fuzzy logic integration for complex time series prediction
International Journal of Intelligent Engineering Informatics
International Journal of Bio-Inspired Computation
Firefly algorithm, stochastic test functions and design optimisation
International Journal of Bio-Inspired Computation
Application of bio-inspired algorithm to the problem of integer factorisation
International Journal of Bio-Inspired Computation
Evolutionary algorithm for example-based painterly rendering
International Journal of Bio-Inspired Computation
A notable swarm approach to evolve neural network for classification in data mining
ICONIP'08 Proceedings of the 15th international conference on Advances in neuro-information processing - Volume Part I
Elitistic evolution: an efficient heuristic for global optimization
ICANNGA'09 Proceedings of the 9th international conference on Adaptive and natural computing algorithms
Agent-based gene expression programming for solving the RCPSP/max problem
ICANNGA'09 Proceedings of the 9th international conference on Adaptive and natural computing algorithms
Firefly algorithms for multimodal optimization
SAGA'09 Proceedings of the 5th international conference on Stochastic algorithms: foundations and applications
International Journal of Innovative Computing and Applications
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Monte Carlo source detection of atmospheric emissions and error functions analysis
Computers & Geosciences
Optimization of uplink sum-rate for bin based clustered cellular system using a genetic algorithm
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
Enhancing indoor localization accuracy of sensor-based by advance genetic algorithms
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
Reduced complexity intrusion detection in sensor networks using genetic algorithm
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
An outlier-aware data clustering algorithm in mixture models
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
A genetic algorithm for side information enhancement in distributed video coding
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Empirical modeling for colorimetric characterization of digital cameras
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Comparison of watermarking algorithms via a GA-based benchmarking tool
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Grouping genetic algorithm for the blockmodel problem
IEEE Transactions on Evolutionary Computation
Customizable FPGA IP core implementation of a general-purpose genetic algorithm engine
IEEE Transactions on Evolutionary Computation
Fractional particle swarm optimization in multidimensional search space
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
A favorable weight-based evolutionary algorithm for multiple criteria problems
IEEE Transactions on Evolutionary Computation
Automatic reproduction of a genius algorithm: Strassen's algorithm revisited by genetic search
IEEE Transactions on Evolutionary Computation
Memetic compact differential evolution for cartesian robot control
IEEE Computational Intelligence Magazine
Hybrid approaches and dimensionality reduction for portfolio selection with cardinality constraints
IEEE Computational Intelligence Magazine
IEEE Transactions on Image Processing
An adaptive multiobjective approach to evolving ART architectures
IEEE Transactions on Neural Networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special issue on the 2009 ACM/IEEE international symposium on networks-on-chip
An energy-efficient error correction scheme for IEEE 802.15.4 wireless sensor networks
IEEE Transactions on Circuits and Systems II: Express Briefs
A fast memoryless interval-based algorithm for global optimization
Journal of Global Optimization
Journal of Global Optimization
Autonomous Agents and Multi-Agent Systems
S/MIMO MC-CDMA Heuristic Multiuser Detectors Based on Single-Objective Optimization
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Optimizing capacitance ratio assignment for low-sensitivity SC filter implementation
IEEE Transactions on Evolutionary Computation
Chemical-reaction-inspired metaheuristic for optimization
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Evolutionary Computation
On the use of genetic programming for the prediction of survival in cancer
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Spurious dependencies and EDA scalability
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Multivariate multi-model approach for globally multimodal problems
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Entropy-based substructural local search for the bayesian optimization algorithm
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Entropy measurement-based estimation model for bayesian optimization algorithm
Proceedings of the 12th annual conference on Genetic and evolutionary computation
An archived-based stochastic ranking evolutionary algorithm (asrea) for multi-objective optimization
Proceedings of the 12th annual conference on Genetic and evolutionary computation
DBSCAN-based multi-objective niching to approximate equivalent pareto-subsets
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Simultaneous use of different scalarizing functions in MOEA/D
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Approaches to multidimensional scaling for adaptive landscape visualization
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Breaking ties with secondary fitness in a genetic algorithm for the bin packing problem
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Complex energy landscape mapping by histogram assisted genetic algorithm
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Analysis of the effects of lifetime learning on population fitness using vose model
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Co-evolution of cooperative strategies under egoism
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Network crossover performance on NK landscapes and deceptive problems
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Generalized crowding for genetic algorithms
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Why recombination should be adaptive
Proceedings of the 12th annual conference on Genetic and evolutionary computation
A probabilistic functional crossover operator for genetic programming
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Evolutionary algorithms in large-scale open pit mine scheduling
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Constructing numerically stable real number codes using evolutionary computation
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Evolutionary-based conflict-free scheduling of collective communications on spidergon NoCs
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Multiobjective evolutionary algorithms for dynamic social network clustering
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Malware detection based on dependency graph using hybrid genetic algorithm
Proceedings of the 12th annual conference on Genetic and evolutionary computation
A new modular genetic programming for finding attractive technical patterns in stock markets
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Definition of a crossover based distance for genetic algorithms
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Information theoretic fitness measures for learning classifier systems
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Configuration of a genetic algorithm for multi-objective optimisation of solar gain to buildings
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Fuzzy social interaction genetic algorithm
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Representations for evolutionary algorithms
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Theoretical results in genetic programming: the next ten years?
Genetic Programming and Evolvable Machines
Local parameterization of freeform shapes using freeform feature recognition
Computer-Aided Design
Computer Methods and Programs in Biomedicine
Computers and Industrial Engineering
Computers and Industrial Engineering
Link-adaptive joint path and capacity design for multi-hop relay backbone in TDD-OFDMA system
CCNC'10 Proceedings of the 7th IEEE conference on Consumer communications and networking conference
Behaviour adaptation in the multi-agent, multi-objective and multi-role supply chain
Computers in Industry
Genetic fuzzy classifier for sleep stage identification
Computers in Biology and Medicine
CODEQ: an effective metaheuristic for continuous global optimisation
International Journal of Metaheuristics
Comparison of non-deterministic search techniques in the optimum design of real size steel frames
Computers and Structures
A divide and conquer method for learning large Fuzzy Cognitive Maps
Fuzzy Sets and Systems
A vision-based approach for intelligent robot navigation
International Journal of Intelligent Systems Technologies and Applications
Application and comparison of intelligent optimisation techniques for SSSC-based controller design
International Journal of Intelligent Systems Technologies and Applications
Scheduling jobs on computational grids using a fuzzy particle swarm optimization algorithm
Future Generation Computer Systems
Optimal design of powder compaction processes via genetic algorithm technique
Finite Elements in Analysis and Design
Utilization of SOMA and differential evolution for robust stabilization of chaotic Logistic equation
Computers & Mathematics with Applications
Pattern recall analysis of the Hopfield neural network with a genetic algorithm
Computers & Mathematics with Applications
Cognitive optimization in the development of assistive living systems
Proceedings of the 3rd International Conference on PErvasive Technologies Related to Assistive Environments
Optimizing trajectories of mobile beacons to localize sensor networks
Proceedings of the 3rd International Conference on PErvasive Technologies Related to Assistive Environments
A Solution Framework for Environmental Characterization Problems
International Journal of High Performance Computing Applications
International Journal of Computer Applications in Technology
Design of prestressed concrete precast pedestrian bridges by heuristic optimization
Advances in Engineering Software
A new mechanism for maintaining diversity of Pareto archive in multi-objective optimization
Advances in Engineering Software
Evolutionary tristate PSO for strategic bidding of pumped-storage hydroelectric plant
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
Efficient feedforward linearization technique using genetic algorithms for OFDM systems
EURASIP Journal on Advances in Signal Processing
RAPL: memory power estimation and capping
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
RAM analysis of repairable industrial systems utilizing uncertain data
Applied Soft Computing
Practical performance models of algorithms in evolutionary program induction and other domains
Artificial Intelligence
Fractional-order PID controller optimization via improved electromagnetism-like algorithm
Expert Systems with Applications: An International Journal
Genetic algorithms for coordinated scheduling of production and air transportation
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Optimization of module, shaft diameter and rolling bearing for spur gear through genetic algorithm
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A hybrid algorithm for capacitated plant location problem
Expert Systems with Applications: An International Journal
Automatically finding the control variables for complex system behavior
Automated Software Engineering
Software ecosystems vs. natural ecosystems: learning from the ingenious mind of nature
Proceedings of the Fourth European Conference on Software Architecture: Companion Volume
A review of evolutionary and immune-inspired information filtering
Natural Computing: an international journal
BGSA: binary gravitational search algorithm
Natural Computing: an international journal
Solving multiobjective flexible scheduling problem by improved DNA genetic algorithm
CAR'10 Proceedings of the 2nd international Asia conference on Informatics in control, automation and robotics - Volume 2
Determining an optimal subdivision of gene transfer partitions
ACACOS'10 Proceedings of the 9th WSEAS international conference on Applied computer and applied computational science
Optimal power flow based on linear adapted genetic algorithm
AEE'10 Proceedings of the 9th WSEAS international conference on Applications of electrical engineering
IMCAS'10 Proceedings of the 9th WSEAS international conference on Instrumentation, measurement, circuits and systems
Viewing the problem from different angles: a new diversity measure based on angular distances
Journal of Artificial Evolution and Applications
Layered architecture for real time sign recognition: Hand gesture and movement
Engineering Applications of Artificial Intelligence
A double genetic algorithm for the MRCPSP/max
Computers and Operations Research
A Neurogenetic approach for the resource-constrained project scheduling problem
Computers and Operations Research
A meta-heuristic approach for improving the accuracy in some classification algorithms
Computers and Operations Research
Computers and Operations Research
Evolutionary multiobjective optimization of Topological Active Nets
Pattern Recognition Letters
Topology-aware resource allocation for data-intensive workloads
Proceedings of the first ACM asia-pacific workshop on Workshop on systems
Modularity and incremental innovation: the roles of design rules and organizational communication
Computational & Mathematical Organization Theory
Integrating clustering and supervised learning for categorical data analysis
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Bottom-up design patterns and the energy web
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
WSEAS Transactions on Circuits and Systems
Use of GA based approach for engineering design through WWW
WSEAS Transactions on Computers
Complex scheduling problems using an optimization methodology
WSEAS Transactions on Information Science and Applications
The application of genetic algorithms to knowledge discovery and data mining
Journal of Computing Sciences in Colleges
Proceedings of the 2010 Symposium on Information and Communication Technology
A hybrid computing scheme for shape optimisation in thermo-fluid problems
International Journal of Computational Intelligence Studies
The Knowledge Engineering Review
Robotics and Autonomous Systems
LESSON: A system for lecture notes searching and sharing over Internet
Journal of Systems and Software
Economic load dispatch using improved harmony search
WSEAS Transactions on Systems and Control
Analysis of the dynamics of allele distribution for some selected GA-variants
INES'10 Proceedings of the 14th international conference on Intelligent engineering systems
Portfolio selection based on technical trading rules optimized with a genetic algorithm
INES'10 Proceedings of the 14th international conference on Intelligent engineering systems
A comparative analysis of different infection strategies of bacterial memetic algorithms
INES'10 Proceedings of the 14th international conference on Intelligent engineering systems
Computer-aided classification of zoom-endoscopical images using Fourier filters
IEEE Transactions on Information Technology in Biomedicine
Evolutionary trajectory planner for multiple UAVs in realistic scenarios
IEEE Transactions on Robotics
Genetic algorithm based NARX model identification for evaluation of insulin sensitivity
Applied Soft Computing
Automatic lateral control for unmanned vehicles via genetic algorithms
Applied Soft Computing
Simultaneous concept-based evolutionary multi-objective optimization
Applied Soft Computing
Efficient Distributed Genetic Algorithm for Rule extraction
Applied Soft Computing
Solving timetabling problems using a cultural algorithm
Applied Soft Computing
Genetic algorithm with peaks adaptive objective function used to fit the EPR powder spectrum
Applied Soft Computing
Railway freight transportation planning with mixed uncertainty of randomness and fuzziness
Applied Soft Computing
Hardware based genetic evolution of self-adaptive arbitrary response FIR filters
Applied Soft Computing
A multiobjective approach to MR brain image segmentation
Applied Soft Computing
The effects of two new crossover operators on genetic algorithm performance
Applied Soft Computing
Analysis of an evolutionary RBFN design algorithm, CO2RBFN, for imbalanced data sets
Pattern Recognition Letters
A novel meta-heuristic optimization algorithm inspired by group hunting of animals: Hunting search
Computers & Mathematics with Applications
A new approach to improving multilingual summarization using a genetic algorithm
ACL '10 Proceedings of the 48th Annual Meeting of the Association for Computational Linguistics
Plot induction and evolutionary search for story generation
ACL '10 Proceedings of the 48th Annual Meeting of the Association for Computational Linguistics
Deviance from perfection is a better criterion than closeness to evil when identifying risky code
Proceedings of the IEEE/ACM international conference on Automated software engineering
A hybrid quantum evolutionary algorithm for solving engineering optimization problems
International Journal of Hybrid Intelligent Systems
Evolutionary algorithms for definition extraction
WDE '09 Proceedings of the 1st Workshop on Definition Extraction
The spaces between us: setting and maintaining boundaries in wireless spectrum access
Proceedings of the sixteenth annual international conference on Mobile computing and networking
Expert Systems with Applications: An International Journal
Using the XCS classifier system for portfolio allocation of MSCI index component stocks
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A two-stage algorithm in evolutionary product unit neural networks for classification
Expert Systems with Applications: An International Journal
Environmental Modelling & Software
Facial expression recognition on multiple manifolds
Pattern Recognition
Classifier Ensemble using Multiobjective Optimization for Named Entity Recognition
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Acquaintance-based trust model for the evolution of cooperation in business games
Service Oriented Computing and Applications
Multiprocessor scheduling by generalized extremal optimization
Journal of Scheduling
Computational Optimization and Applications
Randomized constraint solvers: a comparative study
Innovations in Systems and Software Engineering
A survey on approaches for reliability-based optimization
Structural and Multidisciplinary Optimization
Structural and Multidisciplinary Optimization
Particle swarm optimization aided orthogonal forward regression for unified data modeling
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Evolutionary Computation
A hybrid evolutionary approach to the nurse Rostering problem
IEEE Transactions on Evolutionary Computation
Probability density estimation with tunable kernels using orthogonal forward regression
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on gait analysis
Recourse-based facility-location problems in hybrid uncertain environment
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on gait analysis
Porting Estimation of Distribution Algorithms to the Cell Broadband Engine
Parallel Computing
A genetic procedure used to train RFB neural networks
NN'10/EC'10/FS'10 Proceedings of the 11th WSEAS international conference on nural networks and 11th WSEAS international conference on evolutionary computing and 11th WSEAS international conference on Fuzzy systems
Optimizing anthropomorphic form's of the flat feet modular walking robots MERO
AMERICAN-MATH'10 Proceedings of the 2010 American conference on Applied mathematics
Program-operators to improve test data generation search
WSEAS Transactions on Computers
Fuzzy ART for the document clustering by using evolutionary computation
WSEAS Transactions on Computers
Training of RFB neural networks using a full-genetic approach
WSEAS Transactions on Information Science and Applications
Empirical studies on the speed of convergence of neural network training using genetic algorithms
AAAI'90 Proceedings of the eighth National conference on Artificial intelligence - Volume 2
Adaptive pattern-oriented chess
AAAI'91 Proceedings of the ninth National conference on Artificial intelligence - Volume 2
Evolutionary framework for test of autonomous systems
PerMIS '09 Proceedings of the 9th Workshop on Performance Metrics for Intelligent Systems
International Journal of Communication Networks and Distributed Systems
Computers and Operations Research
A memetic algorithm for extending wireless sensor network lifetime
Information Sciences: an International Journal
Generalized discrete Fourier transform with nonlinear phase
IEEE Transactions on Signal Processing
COGIN: symbolic induction with genetic algorithms
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
Automatic programming of robots using genetic programming
AAAI'92 Proceedings of the tenth national conference on Artificial intelligence
Solving the really hard problems with cooperative search
AAAI'93 Proceedings of the eleventh national conference on Artificial intelligence
Belief network inference in dynamic environments
AAAI'97/IAAI'97 Proceedings of the fourteenth national conference on artificial intelligence and ninth conference on Innovative applications of artificial intelligence
A self-organization mechanism based on cross-entropy method for P2P-like applications
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Sampling optimization for printer characterization by greedy search
IEEE Transactions on Image Processing - Special section on distributed camera networks: sensing, processing, communication, and implementation
Medical case retrieval from a committee of decision trees
IEEE Transactions on Information Technology in Biomedicine
Features for detecting hedge cues
CoNLL '10: Shared Task Proceedings of the Fourteenth Conference on Computational Natural Language Learning --- Shared Task
Scheduling open shops with parallel machines to minimize total completion time
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
Further improvements in the calculation of Censored Quantile Regressions
Journal of Computational and Applied Mathematics
Partitioning composite web services for decentralized execution using a genetic algorithm
Future Generation Computer Systems
Journal of Intelligent and Robotic Systems
Designing Connection Oriented Networks for Multi-Domain Path Resilience
Journal of Network and Systems Management
Journal of Global Optimization
BIANCA: a genetic algorithm to solve hard combinatorial optimisation problems in engineering
Journal of Global Optimization
Autonomous operator management for evolutionary algorithms
Journal of Heuristics
Rule acquisition for cognitive agents by using estimation of distribution algorithms
International Journal of Knowledge Engineering and Soft Data Paradigms
Accessing semi-structured databases: a survey
Proceedings of the 1st International Conference on Intelligent Semantic Web-Services and Applications
Computer assisted peptide design and optimization with topology preserving neural networks
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
Combining evolutionary and sequential search strategies for unsupervised feature selection
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
Using genetic algorithm for selection of initial cluster centers for the K-means method
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
Classified-chime sound generation support system using an interactive genetic algorithm
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
Analysis of the distribution of individuals in modified genetic algorithms
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
Genetic search feature selection for affective modeling: a case study on reported preferences
Proceedings of the 3rd international workshop on Affective interaction in natural environments
Bicriteria p-Hub Location Problems and Evolutionary Algorithms
INFORMS Journal on Computing
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
CBR-based cost prediction model-II of the design phase for multi-family housing projects
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
An incremental genetic algorithm for classification and sensitivity analysis of its parameters
Expert Systems with Applications: An International Journal
Optimization of multiple input-output fuzzy membership functions using clonal selection algorithm
Expert Systems with Applications: An International Journal
A hybrid grouping genetic algorithm for reviewer group construction problem
Expert Systems with Applications: An International Journal
Planning of the GSM network broadcast control channel with data fusion
Expert Systems with Applications: An International Journal
Multi-dimensional particle swarm optimization in dynamic environments
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
A semi-variance portfolio selection model for military investment assets
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Security and performance in service-oriented applications: Trading off competing objectives
Decision Support Systems
Computers and Industrial Engineering
Event based modelling and control of software development processes
ECBS'97 Proceedings of the 1997 international conference on Engineering of computer-based systems
Evolutionary-rough feature selection for face recognition
Transactions on rough sets XII
Direction integrated genetic algorithm for motion estimation in H.264/AVC
ICIC'10 Proceedings of the Advanced intelligent computing theories and applications, and 6th international conference on Intelligent computing
Adaptive channel estimation for STBC-OFDM systems based on nature-inspired optimization strategies
MACOM'10 Proceedings of the Third international conference on Multiple access communications
Production scheduling with a memetic algorithm
International Journal of Innovative Computing and Applications
EA'09 Proceedings of the 9th international conference on Artificial evolution
EA'09 Proceedings of the 9th international conference on Artificial evolution
A multi-agent system to assist with property valuation using heterogeneous ensembles of fuzzy models
KES-AMSTA'10 Proceedings of the 4th KES international conference on Agent and multi-agent systems: technologies and applications, Part I
Multiobjective optimization approach for named entity recognition
PRICAI'10 Proceedings of the 11th Pacific Rim international conference on Trends in artificial intelligence
PRICAI'10 Proceedings of the 11th Pacific Rim international conference on Trends in artificial intelligence
Steady-state genetic algorithms for growing topological mapping and localization
PRICAI'10 Proceedings of the 11th Pacific Rim international conference on Trends in artificial intelligence
Cost optimization of a localized irrigation system using genetic algorithms
IDEAL'10 Proceedings of the 11th international conference on Intelligent data engineering and automated learning
Evolution strategies for objective functions with locally correlated variables
IDEAL'10 Proceedings of the 11th international conference on Intelligent data engineering and automated learning
SAB'10 Proceedings of the 11th international conference on Simulation of adaptive behavior: from animals to animats
ANTS'10 Proceedings of the 7th international conference on Swarm intelligence
Exploiting loose horizontal coupling in evolutionary swarm robotics
ANTS'10 Proceedings of the 7th international conference on Swarm intelligence
Evolutionary multiobjective optimization algorithm as a Markov system
PPSN'10 Proceedings of the 11th international conference on Parallel problem solving from nature: Part I
The X2 modular evolutionary robotics platform
ICES'10 Proceedings of the 9th international conference on Evolvable systems: from biology to hardware
A genetic algorithm to design industrial materials
KES'10 Proceedings of the 14th international conference on Knowledge-based and intelligent information and engineering systems: Part III
AIMSA'10 Proceedings of the 14th international conference on Artificial intelligence: methodology, systems, and applications
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part I
PPSN'10 Proceedings of the 11th international conference on Parallel problem solving from nature: Part II
Statistical analysis of parameter setting in real-coded evolutionary algorithms
PPSN'10 Proceedings of the 11th international conference on Parallel problem solving from nature: Part II
Performance of network crossover on NK landscapes and spin glasses
PPSN'10 Proceedings of the 11th international conference on Parallel problem solving from nature: Part II
Using co-solvability to model and exploit synergetic effects in evolution
PPSN'10 Proceedings of the 11th international conference on Parallel problem solving from nature: Part II
Towards 3D modeling of interacting TM helix pairs based on classification of helix pair sequence
PRIB'10 Proceedings of the 5th IAPR international conference on Pattern recognition in bioinformatics
Pattern recognition for high throughput zebrafish imaging using genetic algorithm optimization
PRIB'10 Proceedings of the 5th IAPR international conference on Pattern recognition in bioinformatics
Fuzzy chance constrained support vector machine
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part I
Quantum genetic algorithm for hybrid flow shop scheduling problems to minimize total completion time
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and simulation and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part II
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and simulation and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part III
Application of advanced Grammatical Evolution to function prediction problem
Advances in Engineering Software
Artificial Intelligence in Medicine
Computers and Operations Research
Investigating the performance of genetic algorithm-based software test case generation
HASE'04 Proceedings of the Eighth IEEE international conference on High assurance systems engineering
Enhanced fixed-priority scheduling with (m,k)-firm guarantee
RTSS'10 Proceedings of the 21st IEEE conference on Real-time systems symposium
Iris recognition using genetic algorithms and asymmetrical SVMs
Machine Graphics & Vision International Journal
IEEE Transactions on Evolutionary Computation - Special issue on preference-based multiobjective evolutionary algorithms
Feasibility structure modeling: an effective chaperone for constrained memetic algorithms
IEEE Transactions on Evolutionary Computation - Special issue on preference-based multiobjective evolutionary algorithms
IEEE Transactions on Fuzzy Systems
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
Duplication of coding segments in genetic programming
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
Using a hybrid genetic algorithm and fuzzy logic for metabolic modeling
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
A multi-objective neuro-evolutionary algorithm to obtain interpretable fuzzy models
CAEPIA'09 Proceedings of the Current topics in artificial intelligence, and 13th conference on Spanish association for artificial intelligence
Study on GEO metaheuristic for solving multiprocessor scheduling problem
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
Deskilling HPL: using an evolutionary algorithm to automate cluster benchmarking
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
KES'10 Proceedings of the 14th international conference on Knowledge-based and intelligent information and engineering systems: Part I
Sensitivity analysis and automatic calibration of a rainfall-runoff model using multi-objectives
KES'10 Proceedings of the 14th international conference on Knowledge-based and intelligent information and engineering systems: Part I
A sketch-and-grow interface for botanical tree modeling
SG'10 Proceedings of the 10th international conference on Smart graphics
NLDB'10 Proceedings of the Natural language processing and information systems, and 15th international conference on Applications of natural language to information systems
Solving unbounded knapsack problem based on quantum genetic algorithms
ACIIDS'10 Proceedings of the Second international conference on Intelligent information and database systems: Part I
Evolving concurrent Petri net models of epistasis
ACIIDS'10 Proceedings of the Second international conference on Intelligent information and database systems: Part II
Standard additive fuzzy system for stock price forecasting
ACIIDS'10 Proceedings of the Second international conference on Intelligent information and database systems: Part II
A genetic algorithm for the identification and segmentation of known motion-blurred objects
ACS'09 Proceedings of the 9th WSEAS international conference on Applied computer science
A heuristic approach to reduce the loss of congested distribution line via FACTS devices
ASM'10 Proceedings of the 4th international conference on Applied mathematics, simulation, modelling
Genetic search algorithms to fuzzy multiobjective games: a mathematica implementation
ACS'10 Proceedings of the 10th WSEAS international conference on Applied computer science
PSFGA: a parallel genetic algorithm for multiobjective optimization
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
On heterogeneous sensor node placement
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
A fuzzy prediction model for calling communities
International Journal of Networking and Virtual Organisations
Fuzzy clustering algorithms for unsupervised change detection in remote sensing images
Information Sciences: an International Journal
Self-learning fuzzy logic controllers for pursuit-evasion differential games
Robotics and Autonomous Systems
Mission design for a group of autonomous guided vehicles
Robotics and Autonomous Systems
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A combined genetic-neural algorithm for mobility management
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Pattern classification driven enhancements for human-in-the-loop decision support systems
Decision Support Systems
On the performance of fitness uniform selection for non-deceptive problems
Proceedings of the 48th Annual Southeast Regional Conference
GEFE: genetic & evolutionary feature extraction for periocular-based biometric recognition
Proceedings of the 48th Annual Southeast Regional Conference
Study of multiscale global optimization based on parameter space partition
Journal of Global Optimization
Twin Removal in Genetic Algorithms for Protein Structure Prediction Using Low-Resolution Model
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Genetic Networks and Soft Computing
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Exact and heuristic algorithms for the interval data robust assignment problem
Computers and Operations Research
Computers and Operations Research
Two-stage update biogeography-based optimization using differential evolution algorithm (DBBO)
Computers and Operations Research
SubsetTrio: An evolutionary, geometric, and statistical benchmark subsetting framework
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Active categorical perception of object shapes in a simulated anthropomorphic robotic arm
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Evolutionary Computation
IEEE Transactions on Information Technology in Biomedicine
A multi-stage automatic arrhythmia recognition and classification system
Computers in Biology and Medicine
Scour depth modelling by a multi-objective evolutionary paradigm
Environmental Modelling & Software
Expert Systems with Applications: An International Journal
Short term wind speed prediction based on evolutionary support vector regression algorithms
Expert Systems with Applications: An International Journal
GA-based neural network for energy recovery system of the electric motorcycle
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Minimizing time risk in on-line bidding: An adaptive information retrieval based approach
Expert Systems with Applications: An International Journal
Comparing performances of backpropagation and genetic algorithms in the data classification
Expert Systems with Applications: An International Journal
Chaos-based improved immune algorithm (CBIIA) for resource-constrained project scheduling problems
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Evolutionary clustering of relational data
International Journal of Hybrid Intelligent Systems - Advances in Intelligent Agent Systems
Network formation and social reputation: a theoretical model and simulation analysis
International Journal of Knowledge Engineering and Soft Data Paradigms
Sequence diagram to colored Petri nets transformation testing: an immune system metaphor
Proceedings of the 2010 Conference of the Center for Advanced Studies on Collaborative Research
MatPort – online mathematics learning with a bioinspired decision-making system
International Journal of Innovative Computing and Applications
Topology-aware resource allocation for data-intensive workloads
ACM SIGCOMM Computer Communication Review
Towards the impact of the random sequence on genetic algorithms
AICI'10 Proceedings of the 2010 international conference on Artificial intelligence and computational intelligence: Part II
Framework for distributed evolutionary algorithms in computational grids
ISICA'10 Proceedings of the 5th international conference on Advances in computation and intelligence
An improved memetic algorithm for break scheduling
HM'10 Proceedings of the 7th international conference on Hybrid metaheuristics
A framework for automated fault recovery planning in large-scale virtualized infrastructures
MACE'10 Proceedings of the 5th IEEE international conference on Modelling autonomic communication environments
Towards one-class pattern recognition in brain activity via neural networks
MICAI'10 Proceedings of the 9th Mexican international conference on Artificial intelligence conference on Advances in soft computing: Part II
A radial basis function redesigned for predicting a welding process
MICAI'10 Proceedings of the 9th Mexican international conference on Artificial intelligence conference on Advances in soft computing: Part II
Particle swarm optimization with gravitational interactions for multimodal and unimodal problems
MICAI'10 Proceedings of the 9th Mexican international conference on Artificial intelligence conference on Advances in soft computing: Part II
Particle swarm optimization with resets: improving the balance between exploration and exploitation
MICAI'10 Proceedings of the 9th Mexican international conference on Artificial intelligence conference on Advances in soft computing: Part II
ACRI'10 Proceedings of the 9th international conference on Cellular automata for research and industry
Benefits of subliminal feedback loops in human-computer interaction
Advances in Human-Computer Interaction - Special issue on subliminal communication in human-computer interaction
Two-dimensional harmonic retrieval in correlative noise based on genetic algorithm
EURASIP Journal on Advances in Signal Processing - Special issue on robust processing of nonstationary signals
A genetic algorithm optimization technique for multiwavelet-based digital audio watermarking
EURASIP Journal on Advances in Signal Processing - Special issue on time-frequency analysis and its applications to multimedia signals
Eigenvectors of the discrete Fourier transform based on the bilinear transform
EURASIP Journal on Advances in Signal Processing - Special issue on applications of time-frequency signal processing in wireless communications and bioengineering
The geometric constraint solving based on the quantum particle swarm
RSKT'10 Proceedings of the 5th international conference on Rough set and knowledge technology
Context-awareness handoff planning in heterogeneous wireless networks
UIC'10 Proceedings of the 7th international conference on Ubiquitous intelligence and computing
International Journal of Approximate Reasoning
Expert Systems with Applications: An International Journal
Trend discovery in financial time series data using a case based fuzzy decision tree
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Genetic regulatory network-based symbiotic evolution
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Identifying Extract Class refactoring opportunities using structural and semantic cohesion measures
Journal of Systems and Software
Conditional probability mutation in LZWGA
Proceedings of the International Conference on Management of Emergent Digital EcoSystems
A Genetic Optimization Approach for Isolating Translational Efficiency Bias
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Extended papers from NPAR 2010: Stylized ambient displays of digital media collections
Computers and Graphics
A DSS generator for multiobjective optimisation of spreadsheet-based models
Environmental Modelling & Software
Particle swarm optimization with genetic recombination: a hybrid evolutionary algorithm
Artificial Life and Robotics
Context-sensitive refinements for stochastic optimisation algorithms in inductive logic programming
Artificial Intelligence Review
Multi-population interactive coevolutionary algorithm for flexible job shop scheduling problems
Computational Optimization and Applications
A fast steady-state ε-dominance multi-objective evolutionary algorithm
Computational Optimization and Applications
Fluctuations in Economic and Activity and Stabilization Policies in the CIS
Computational Economics
Mean-VaR Portfolio Selection Under Real Constraints
Computational Economics
PC2PSO: personalized e-course composition based on Particle Swarm Optimization
Applied Intelligence
Optimization methods for spiking neurons and networks
IEEE Transactions on Neural Networks
A hybrid GA-AIS heuristic for optimization of multipass turning operations
ICIRA'10 Proceedings of the Third international conference on Intelligent robotics and applications - Volume Part II
Annotation of cultural heritage documents based on XML dictionaries and data clustering
EuroMed'10 Proceedings of the Third international conference on Digital heritage
Design of artificial neural networks using differential evolution algorithm
ICONIP'10 Proceedings of the 17th international conference on Neural information processing: models and applications - Volume Part II
Pattern Recognition Letters
Learning factorizations in estimation of distribution algorithms using affinity propagation
Evolutionary Computation
Sensibility of linkage information and effectiveness of estimated distributions
Evolutionary Computation
Intelligent process planning methods for the manufacturing of moulds
International Journal of Computer Applications in Technology
A heuristics method based on ant colony optimisation for redundancy allocation problems
International Journal of Computer Applications in Technology
Expert Systems with Applications: An International Journal
A genetic algorithm for optimizing gravity die casting's heat transfer coefficients
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Short Communication: The prediction of promoter sequences based on the chemical features
Expert Systems with Applications: An International Journal
Non-identical parallel machine scheduling using genetic algorithm
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Genetic algorithm for asymmetric traveling salesman problem with imprecise travel times
Journal of Computational and Applied Mathematics
Soil moisture estimation from inverse modeling using multiple criteria functions
Computers and Electronics in Agriculture
Optimal feature retrieval for classification of non-stationary Power Quality disturbances
International Journal of Artificial Intelligence and Soft Computing
Pareto-based evolutionary computational approach for wireless sensor placement
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Dividing genetic computation method for robust receding horizon control design
Applied Computational Intelligence and Soft Computing - Special issue on theory and applications of evolutionary computation
Applied Computational Intelligence and Soft Computing - Special issue on theory and applications of evolutionary computation
Using genetic algorithms to represent higher-level planning in simulation models of conflict
Advances in Artificial Intelligence
Genetic algorithms based parameter identification of yeast fed-batch cultivation
NMA'10 Proceedings of the 7th international conference on Numerical methods and applications
Adaptive intelligence applied to numerical optimisation
NMA'10 Proceedings of the 7th international conference on Numerical methods and applications
Fed-batch cultivation control based on genetic algorithm PID controller tuning
NMA'10 Proceedings of the 7th international conference on Numerical methods and applications
Parametric approximation of functions using genetic algorithms: an example with a logistic curve
NMA'10 Proceedings of the 7th international conference on Numerical methods and applications
Feature selection applied to data from the Sloan digital sky survey
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part I
The fuzzy gene filter: an adaptive fuzzy inference system for expression array feature selection
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part III
Using MOGA to order batches in a real world pipeline network
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part III
Tackling trust issues in virtual organization load balancing
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part III
A parallel algorithm for solving large convex minimax problems
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
A dynamic Island-based genetic algorithms framework
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
A niched genetic programming algorithm for classification rules discovery in geographic databases
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
A genetic algorithm for assigning individuals to populations using multi-locus genotyping
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
Robustness of multi-objective optimal solutions to physical deterioration through active control
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
SEAL'10 Proceedings of the 8th international conference on Simulated evolution and learning
A real coded MOGA for mining classification rules with missing attribute values
Proceedings of the 2011 International Conference on Communication, Computing & Security
Improving efficiency of a genetic algorithm applied to multi-robot tactic operation
IBERAMIA'10 Proceedings of the 12th Ibero-American conference on Advances in artificial intelligence
A genetic-algorithm-based fusion system optimization for 3D image interpretation
CIARP'10 Proceedings of the 15th Iberoamerican congress conference on Progress in pattern recognition, image analysis, computer vision, and applications
Using genetic K-means algorithm for PCA regression data in customer churn prediction
ADMA'10 Proceedings of the 6th international conference on Advanced data mining and applications - Volume Part II
Using PCA to predict customer churn in telecommunication dataset
ADMA'10 Proceedings of the 6th international conference on Advanced data mining and applications - Volume Part II
A genetic-algorithm based approach for generating fuzzy singleton models
CIMMACS '10 Proceedings of the 9th WSEAS international conference on computational intelligence, man-machine systems and cybernetics
Heuristic and metaheuristic optimization techniques with application to power systems
MMACTEE'10 Proceedings of the 12th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
Solving applications by use of genetic algorithms
MMACTEE'09 Proceedings of the 11th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
Neural system for heartbeats recognition using genetically integrated ensemble of classifiers
Computers in Biology and Medicine
Multi-Objective Virtual Machine Placement in Virtualized Data Center Environments
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Precast production scheduling using multi-objective genetic algorithms
Expert Systems with Applications: An International Journal
Intelligent bionic genetic algorithm (IB-GA) and its convergence
Expert Systems with Applications: An International Journal
Structure of Multi-Stage Composite Genetic Algorithm (MSC-GA) and its performance
Expert Systems with Applications: An International Journal
Dynamic economic load dispatch using hybrid swarm intelligence based harmony search algorithm
Expert Systems with Applications: An International Journal
An exact schema theorem for adaptive genetic algorithm and its application to machine cell formation
Expert Systems with Applications: An International Journal
A genetic algorithm for the job shop scheduling with a new local search using Monte Carlo method
AIKED'11 Proceedings of the 10th WSEAS international conference on Artificial intelligence, knowledge engineering and data bases
Learning in the feed-forward random neural network: A critical review
Performance Evaluation
Cost-sensitive case-based reasoning using a genetic algorithm: Application to medical diagnosis
Artificial Intelligence in Medicine
Fire detection algorithms for video images of large space structures
Multimedia Tools and Applications
Mobility management in ubiquitous environments
Personal and Ubiquitous Computing
Structural and Multidisciplinary Optimization
Evolvability and speed of evolutionary algorithms in light of recent developments in biology
Journal of Artificial Evolution and Applications
A GA-based approach to improve web page aesthetics
Proceedings of the First International Conference on Intelligent Interactive Technologies and Multimedia
Disturbed Exploitation compact Differential Evolution for limited memory optimization problems
Information Sciences: an International Journal
Modeling and evaluating credibility of web applications
Proceedings of the 2011 Joint WICOW/AIRWeb Workshop on Web Quality
Design of a route guidance system with shortest driving time based on genetic algorithm
ACACOS'11 Proceedings of the 10th WSEAS international conference on Applied computer and applied computational science
ACACOS'11 Proceedings of the 10th WSEAS international conference on Applied computer and applied computational science
A genetic algorithm for project scheduling with multi-modes and renewable resources
MMES'10 Proceedings of the 2010 international conference on Mathematical models for engineering science
ACM Transactions on Asian Language Information Processing (TALIP)
International Journal of Innovative Computing and Applications
A randomized model ensemble approach for reconstructing signals from faulty sensors
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Triaxial behavior of sand-mica mixtures using genetic programming
Expert Systems with Applications: An International Journal
Combining in situ flow cytometry and artificial neural networks for aquatic systems monitoring
Expert Systems with Applications: An International Journal
A controlled migration genetic algorithm operator for hardware-in-the-loop experimentation
Engineering Applications of Artificial Intelligence
Journal of Intelligent Manufacturing
Optimization of supply diversity for the self-assembly of simple objects in two and three dimensions
Natural Computing: an international journal
The Clock Proxy Auction for Allocating Radio Spectrum Licenses
Computational Economics
An Investigation into the Use of Intelligent Systems for Currency Trading
Computational Economics
Classifier Ensemble Selection Using Genetic Algorithm for Named Entity Recognition
Research on Language and Computation
A particle swarm optimization-based hybrid algorithm for minimum concave cost network flow problems
Journal of Global Optimization
Scheduling with uncertainties on new computing platforms
Computational Optimization and Applications
Example-based model-transformation testing
Automated Software Engineering
Compact Genetic Algorithms using belief vectors
Applied Soft Computing
Hype: An algorithm for fast hypervolume-based many-objective optimization
Evolutionary Computation
Fuzzy ARTMAP and hybrid evolutionary programming for pattern classification
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Evolutionary neural networks for practical applications
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Evolutionary neural networks for practical applications
3D dynamic modeling of the head-neck complex for fast eye and head orientation movements research
Modelling and Simulation in Engineering
Hierarchical component-based representations for evolving microelectromechanical systems designs
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Genetic fuzzy modeling of user perception of three-dimensional shapes
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
EURASIP Journal on Wireless Communications and Networking - Special issue on towards the connected body: advances in body communications
Colour mixing modelling and simulation: optimization of colour recipe for carded fibres
Modelling and Simulation in Engineering
Neural networks and genetic algorithms for robust predictive controller
ACMOS'06 Proceedings of the 8th WSEAS international conference on Automatic control, modeling & simulation
ACMOS'06 Proceedings of the 8th WSEAS international conference on Automatic control, modeling & simulation
H∞controller design and fault detection method based on genetic algorithms for MIMO system
ACMOS'06 Proceedings of the 8th WSEAS international conference on Automatic control, modeling & simulation
Robust intelligent control of coupled tanks
ACMOS'07 Proceedings of the 9th WSEAS international conference on Automatic control, modelling and simulation
A NSGAII approach to the fault detection filter design
ACMOS'07 Proceedings of the 9th WSEAS international conference on Automatic control, modelling and simulation
System design and implementation for solving the resident physician scheduling problem
ACMOS'07 Proceedings of the 9th WSEAS international conference on Automatic control, modelling and simulation
ACOS'06 Proceedings of the 5th WSEAS international conference on Applied computer science
ACS'06 Proceedings of the 6th WSEAS international conference on Applied computer science
ACS'06 Proceedings of the 6th WSEAS international conference on Applied computer science
Influencing design of hierarchical fuzzy logic systems
ACS'06 Proceedings of the 6th WSEAS international conference on Applied computer science
Multi-stage design of DMS filters with free & freeze method
ACS'06 Proceedings of the 6th WSEAS international conference on Applied computer science
Dedicated hardware for scheduling problems using genetic algorithm
AEE'06 Proceedings of the 5th WSEAS international conference on Applications of electrical engineering
A color re-indexing scheme using genetic algorithm
MUSP'06 Proceedings of the 6th WSEAS international conference on Multimedia systems & signal processing
Application of genetic algorithm and neural network in forecasting with good data
NN'05 Proceedings of the 6th WSEAS international conference on Neural networks
Incorporating future release plan in predicting wafer lot output time with a hybrid ANN
ROCOM'06 Proceedings of the 6th WSEAS international conference on Robotics, control and manufacturing technology
A hybrid SOM-FBPN approach for output time prediction in a wafer fab
ROCOM'06 Proceedings of the 6th WSEAS international conference on Robotics, control and manufacturing technology
Automation of electro-hydraulic routing design using hybrid artificially-intelligent techniques
TELE-INFO'06 Proceedings of the 5th WSEAS international conference on Telecommunications and informatics
Robust 2DOF PID controller design of time-delay sytems based on evolutionary computation
ICECS'05 Proceedings of the 4th WSEAS international conference on Electronics, control and signal processing
A two-stage hybrid memetic algorithm for multiobjective job shop scheduling
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Learning fuzzy rules for similarity assessment in case-based reasoning
Expert Systems with Applications: An International Journal
Proceedings of the International Conference & Workshop on Emerging Trends in Technology
Proceedings of the International Conference & Workshop on Emerging Trends in Technology
COMPUTE '11 Proceedings of the Fourth Annual ACM Bangalore Conference
A comparison of genotype representations to acquire stock trading strategy using genetic algorithms
Transactions on computational science VIII
Capacitor placement in distribution systems using genetic algorithms and tabu search
AEE'05 Proceedings of the 4th WSEAS international conference on Applications of electrical engineering
Robust object segmentation using genetic optimization of morphological processing chains
SSIP'05 Proceedings of the 5th WSEAS international conference on Signal, speech and image processing
Recognition of subjective objects based on one gold sample
SSIP'05 Proceedings of the 5th WSEAS international conference on Signal, speech and image processing
Decision tree based learning and genetic based learning to detect network intrusions
SMO'05 Proceedings of the 5th WSEAS international conference on Simulation, modelling and optimization
SMO'05 Proceedings of the 5th WSEAS international conference on Simulation, modelling and optimization
Genetic-based traffic engineering in GMPLS networks
SMO'05 Proceedings of the 5th WSEAS international conference on Simulation, modelling and optimization
A memetic algorithm for logic circuit design
CONTROL'05 Proceedings of the 2005 WSEAS international conference on Dynamical systems and control
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
Automatic design of project line in road construction, using genetic algorithm
ICAI'05/MCBC'05/AMTA'05/MCBE'05 Proceedings of the 6th WSEAS international conference on Automation & information, and 6th WSEAS international conference on mathematics and computers in biology and chemistry, and 6th WSEAS international conference on acoustics and music: theory and applications, and 6th WSEAS international conference on Mathematics and computers in business and economics
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
Multi-objective Pareto optimization of axial compressors using genetic algorithms
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
ICOSSSE'05 Proceedings of the 4th WSEAS/IASME international conference on System science and simulation in engineering
GA optimization of Petri net-modeled concurrent service systems
Applied Soft Computing
An optimization-simulation approach for performance evaluation of cellular layouts
ACMOS'05 Proceedings of the 7th WSEAS international conference on Automatic control, modeling and simulation
T-S fuzzy modelling using advanced genetic algorithms
ACMOS'05 Proceedings of the 7th WSEAS international conference on Automatic control, modeling and simulation
A multiobjective optimization issue: genetic control planning for AUV trajectories
ACMOS'05 Proceedings of the 7th WSEAS international conference on Automatic control, modeling and simulation
ACMOS'05 Proceedings of the 7th WSEAS international conference on Automatic control, modeling and simulation
Decentralized power system stabilizer design in multimachine power networks using genetic algorithm
ACMOS'05 Proceedings of the 7th WSEAS international conference on Automatic control, modeling and simulation
ACMOS'05 Proceedings of the 7th WSEAS international conference on Automatic control, modeling and simulation
A framework for parallel genetic algorithms on PC cluster
IMCAS'06 Proceedings of the 5th WSEAS international conference on Instrumentation, measurement, circuits and systems
A new genetic clustering based approach in aspect mining
MMACTEE'06 Proceedings of the 8th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
MACMESE'07 Proceedings of the 9th WSEAS international conference on Mathematical and computational methods in science and engineering
Evolutionary design of constructive multilayer feedforward neural network
ICS'06 Proceedings of the 10th WSEAS international conference on Systems
Genetic algorithm with forced diversity for the university timetabling problem
ICS'06 Proceedings of the 10th WSEAS international conference on Systems
A systematic procedure for evaluating the achievability of an output time forecast in a wafer fab
ICS'06 Proceedings of the 10th WSEAS international conference on Systems
Solving non-linear equations via genetic algorithms
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Cooperative evolutive concept learning: an empirical study
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Text dependency in voice quality conversion using interactive evolution
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Enhancing concurrent node movements in mobile ad-hoc networks while preserving connection stability
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Distributed population based incremental learning
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Constrained evolutionary programming approaches to power system economic dispatch
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Optimization algorithms inspired by electromagnetism and stigmergy in electro-technical engineering
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Logic circuits synthesis through genetic algorithms
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
A data mining technique for data clustering based on genetic algorithm
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Optimum design of stepped spillways using genetic algorithm
EC'05 Proceedings of the 6th WSEAS international conference on Evolutionary computing
Barley seeds classification with a genetically optimized kernel density estimator
CIMMACS'07 Proceedings of the 6th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
An improved snake for automatic building extraction
CIMMACS'07 Proceedings of the 6th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
Evolutionary algorithm for game difficulty control
CIMMACS'05 Proceedings of the 4th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
Evolutionary algorithms for Boolean queries optimization
CIMMACS'05 Proceedings of the 4th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
Navigation of autonomous robots using genetic algorithms
CIMMACS'05 Proceedings of the 4th WSEAS international conference on Computational intelligence, man-machine systems and cybernetics
Learning graph prototypes for shape recognition
Computer Vision and Image Understanding
Analysis of gene microarray data in a soft computing framework
Applied Soft Computing
Decision support for Cybersecurity risk planning
Decision Support Systems
Computers and Industrial Engineering
Computers and Industrial Engineering
A multi-agent organizational framework for coevolutionary optimization
Transactions on Petri nets and other models of concurrency IV
Evolution of heuristics for give-away checkers
ICANN'05 Proceedings of the 15th international conference on Artificial neural networks: formal models and their applications - Volume Part II
CORAL: solving complex constraints for symbolic pathfinder
NFM'11 Proceedings of the Third international conference on NASA Formal methods
A comparison of genotype representations to acquire stock trading strategy using genetic algorithms
Transactions on computational science VIII
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
On properties of genetic operators from a network analytical viewpoint
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
Implicit elitism in genetic search
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
The improved initialization method of genetic algorithm for solving the optimization problem
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
A double-stage genetic optimization algorithm for portfolio selection
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
Mitigating deception in genetic search through suitable coding
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
Genetic algorithm for satellite customer assignment
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
A look-ahead fuzzy back propagation network for lot output time series prediction in a wafer fab
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
ICONIP'06 Proceedings of the 13th international conference on Neural information processing - Volume Part III
Evolution of cache replacement policies to track heavy-hitter flows
PAM'11 Proceedings of the 12th international conference on Passive and active measurement
Effects of the existence of highly correlated objectives on the behavior of MOEA/D
EMO'11 Proceedings of the 6th international conference on Evolutionary multi-criterion optimization
Variable neighborhood multiobjective genetic algorithm for the optimization of routes on IP networks
EMO'11 Proceedings of the 6th international conference on Evolutionary multi-criterion optimization
EvoMD: An Algorithm for Evolutionary Molecular Design
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Information Sciences: an International Journal
Combining integrated sampling with SVM ensembles for learning from imbalanced datasets
Information Processing and Management: an International Journal
Optimizing the performance of GNU-chess with a genetic algorithm
Proceedings of the 13th International Conference on Humans and Computers
Optimisation of location and dimension of SMC precharge in compression moulding process
Computers and Structures
A parallel multi-population biased random-key genetic algorithm for a container loading problem
Computers and Operations Research
Advances in Engineering Software
Tuning metaheuristics: A data mining based approach for particle swarm optimization
Expert Systems with Applications: An International Journal
On the performance of the cross-entropy method
Winter Simulation Conference
Using genetic algorithms to limit the optimism in time warp
Winter Simulation Conference
Coupling simulation with heuristiclab to solve facility layout problems
Winter Simulation Conference
Generating, benchmarking and simulating production schedules: from formalisation to real problems
Winter Simulation Conference
Optimisation of concentrating solar thermal power plants with neural networks
ICANNGA'11 Proceedings of the 10th international conference on Adaptive and natural computing algorithms - Volume Part I
Rule induction based-on coevolutionary algorithms for image annotation
ACIIDS'11 Proceedings of the Third international conference on Intelligent information and database systems - Volume Part II
Have your spaghetti and eat it too: evolutionary algorithmics and post-evolutionary analysis
Genetic Programming and Evolvable Machines
Micro-scale truss optimization using genetic algorithm
Structural and Multidisciplinary Optimization
Structural and Multidisciplinary Optimization
Multi-material topology optimization with strength constraints
Structural and Multidisciplinary Optimization
Quantum-inspired evolutionary algorithms: a survey and empirical study
Journal of Heuristics
Tuberculosis Disease Diagnosis Using Artificial Neural Network Trained with Genetic Algorithm
Journal of Medical Systems
Proceedings of the 3rd workshop on Biologically inspired algorithms for distributed systems
Computer Methods and Programs in Biomedicine
Proceedings of the 2010 Summer Computer Simulation Conference
Task allocation for robots using inspiration from hormones
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Flexible and multistable pattern generation by evolving constrained plastic neurocontrollers
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
An effective colour feature extraction method using evolutionary computation for face recognition
International Journal of Biometrics
Adaptive random testing: an illusion of effectiveness?
Proceedings of the 2011 International Symposium on Software Testing and Analysis
An investigation into the merger of stochastic diffusion search and particle swarm optimisation
Proceedings of the 13th annual conference on Genetic and evolutionary computation
The TransRAR crossover operator for genetic algorithms with set encoding
Proceedings of the 13th annual conference on Genetic and evolutionary computation
A cooperative tree-based hybrid GA-B&B approach for solving challenging permutation-based problems.
Proceedings of the 13th annual conference on Genetic and evolutionary computation
An efficient hierarchical parallel genetic algorithm for graph coloring problem
Proceedings of the 13th annual conference on Genetic and evolutionary computation
P-GLS-II: an enhanced version of the population-based guided local search
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Harmony search with differential mutation based pitch adjustment
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Proceedings of the 13th annual conference on Genetic and evolutionary computation
A preliminary study on EDAs for permutation problems based on marginal-based models
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Advanced neighborhoods and problem difficulty measures
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Hierarchical allelic pairwise independent functions
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Investigating relevant aspects of MOEAs for protein structures prediction
Proceedings of the 13th annual conference on Genetic and evolutionary computation
A RankMOEA to approximate the pareto front of a dynamic principal-agent model
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Stochastic algorithms assessment using performance profiles
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Locating seismic-sense stations through genetic algorithm: genetic algorithms
Proceedings of the 13th annual conference on Genetic and evolutionary computation
On the deleterious effects of a priori objectives on evolution and representation
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Pairwise and problem-specific distance metrics in the linkage tree genetic algorithm
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Analysis of epistasis correlation on NK landscapes with nearest-neighbor interactions
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Proceedings of the 13th annual conference on Genetic and evolutionary computation
A non-deterministic adaptive inertia weight in PSO
Proceedings of the 13th annual conference on Genetic and evolutionary computation
The K landscapes: a tunably difficult benchmark for genetic programming
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Improving reputation systems for wireless sensor networks using genetic algorithms
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Spanning the pareto front of a counter radar detection problem
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Tuned data mining: a benchmark study on different tuners
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Genetic algorithms as a pre processing strategy for imbalanced datasets
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
The effect of selection from old populations in genetic algorithms
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Fast prediction computation in learning classifier systems using CUDA
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Dynamic environments can speed up evolution with genetic programming
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
A memetic algorithm for two-dimensional multi-objective bin-packing with constraints
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Estimating functional agent-based models: an application to bid shading in online markets format
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Are evolutionary algorithm competitions characterizing landscapes appropriately
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Representations for evolutionary algorithms
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Geometry of evolutionary algorithms
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
Grouping packet scheduling for virtual networks by genetic algorithm
Proceedings of the 6th International Conference on Future Internet Technologies
Structural and Multidisciplinary Optimization
Aero-mechanical optimisation of a structural fan outlet guide vane
Structural and Multidisciplinary Optimization
Annealing evolutionary stochastic approximation Monte Carlo for global optimization
Statistics and Computing
Genetic algorithms and particle swarm optimization for exploratory projection pursuit
Annals of Mathematics and Artificial Intelligence
Methods of multiextremal optimization under constraints for separably quasimonotone functions
Journal of Computer and Systems Sciences International
Optical fuzzy logic systems in problems of adaptive simulation of weakly formalized processes
Journal of Computer and Systems Sciences International
Artificial Intelligence in Medicine
Advances in Engineering Software
Heuristic approaches for master planning in semiconductor manufacturing
Computers and Operations Research
Inequality constraint handling in genetic algorithms using a boundary simulation method
Computers and Operations Research
Community adaptive educational games
ICWL'10 Proceedings of the 2010 international conference on New horizons in web-based learning
GP-based electricity price forecasting
EuroGP'11 Proceedings of the 14th European conference on Genetic programming
Connectedness and local search for bicriteria knapsack problems
EvoCOP'11 Proceedings of the 11th European conference on Evolutionary computation in combinatorial optimization
Evolving behaviour trees for the Mario AI competition using grammatical evolution
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part I
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
Learning and predicting financial time series by combining natural computation and agent simulation
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
Evolution of architectural floor plans
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
Designing difficult office space allocation problem instances with mathematical programming
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
A biased random key genetic algorithm approach for unit commitment problem
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Parameter identification in cardiac electrophysiology using proper orthogonal decomposition method
FIMH'11 Proceedings of the 6th international conference on Functional imaging and modeling of the heart
Rate based cross layer optimizations for image delivery in wireless sensor networks
Journal of Mobile Multimedia
Parametric optimization of artificial neural networks for signal approximation applications
Proceedings of the 49th Annual Southeast Regional Conference
Distributed learning with data reduction
Transactions on computational collective intelligence IV
A study of probability collectives multi-agent systems on optimization and robustness
Transactions on computational collective intelligence IV
Base station controlled intelligent clustering routing in wireless sensor networks
Canadian AI'11 Proceedings of the 24th Canadian conference on Advances in artificial intelligence
Fast oriented bounding box optimization on the rotation group SO(3,ℝ)
ACM Transactions on Graphics (TOG)
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part I
Genetic selection of subgraphs for automatic reasoning in design systems
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part I
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part I
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part I
A multi-objective evolutionary approach for subgroup discovery
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part II
Analysis of measures of quantitative association rules
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part II
Topos 2: spiking neural networks for bipedal walking in humanoid robots
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part II
The crowdsourcing design space
FAC'11 Proceedings of the 6th international conference on Foundations of augmented cognition: directing the future of adaptive systems
Feature selection in crowd creativity
FAC'11 Proceedings of the 6th international conference on Foundations of augmented cognition: directing the future of adaptive systems
A genetic algorithm to configure support vector machines for predicting fault-prone components
PROFES'11 Proceedings of the 12th international conference on Product-focused software process improvement
On the dynamics of active categorisation of different objects shape through tactile sensors
ECAL'09 Proceedings of the 10th European conference on Advances in artificial life: Darwin meets von Neumann - Volume Part I
KES-AMSTA'11 Proceedings of the 5th KES international conference on Agent and multi-agent systems: technologies and applications
A genetic algorithm for community formation based on collective intelligence capacity
KES-AMSTA'11 Proceedings of the 5th KES international conference on Agent and multi-agent systems: technologies and applications
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part I
A multi-objective evolutionary algorithm for network intrusion detection systems
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part I
An additive decision rules classifier for network intrusion detection
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part I
Hybrid artificial neural networks: models, algorithms and data
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
SNP-Schizo: a web tool for schizophrenia SNP sequence classification
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
A parallel skeleton for genetic algorithms
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
A case study on the use of genetic algorithms to generate test cases for temporal systems
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
An interactive evolutionary algorithm for multiple objective convex integer problems
Proceedings of the 12th International Conference on Computer Systems and Technologies
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Data mining with a parallel rule induction system based on gene expression programming
International Journal of Innovative Computing and Applications
Investigating a novel GA-based feature selection method using improved KNN classifiers
International Journal of Information and Communication Technology
International Journal of Business Intelligence and Data Mining
Almost tight upper bound for finding Fourier coefficients of bounded pseudo-Boolean functions
Journal of Computer and System Sciences
A hybrid heuristic-genetic algorithm for task scheduling in heterogeneous processor networks
Journal of Parallel and Distributed Computing
MCPR'11 Proceedings of the Third Mexican conference on Pattern recognition
Collaborative optimization under a control framework for ATSP
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part I
An improved co-evolution genetic algorithm for combinatorial optimization problems
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part I
Multi-objective optimization for dynamic single-machine scheduling
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part II
Using population based algorithms for initializing nonnegative matrix factorization
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part II
Using genetic algorithm for parameter tuning on ILC controller design
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part II
Key cutting algorithm and its variants for unconstrained optimization problems
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part II
Feeding the fish - weight update strategies for the fish school search algorithm
ICSI'11 Proceedings of the Second international conference on Advances in swarm intelligence - Volume Part II
Neuro-genetic approach for detecting changes in multitemporal remotely sensed images
PReMI'11 Proceedings of the 4th international conference on Pattern recognition and machine intelligence
Equilibrium approximation in simulation-based extensive-form games
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Evolving subjective utilities: Prisoner's Dilemma game examples
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Optimal control of mobile monitoring agents in immune-inspired wireless monitoring networks
Journal of Network and Computer Applications
OSC'10 Proceedings of the Third international conference on Optical supercomputing
ICARIS'11 Proceedings of the 10th international conference on Artificial immune systems
Modeling view selection as a constraint satisfaction problem
DEXA'11 Proceedings of the 22nd international conference on Database and expert systems applications - Volume Part II
Multidimensional database design from document-centric XML documents
DaWaK'11 Proceedings of the 13th international conference on Data warehousing and knowledge discovery
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part III
A method to generate artificial 2D shape contour based in fourier transform and genetic algorithms
ACIVS'11 Proceedings of the 13th international conference on Advanced concepts for intelligent vision systems
Image analysis applied to morphological assessment in bovine livestock
ACIVS'11 Proceedings of the 13th international conference on Advanced concepts for intelligent vision systems
Journal of Combinatorial Optimization
Surveillance of unmanned aerial vehicles using probability collectives
HoloMAS'11 Proceedings of the 5th international conference on Industrial applications of holonic and multi-agent systems for manufacturing
Parallel algorithms for continuous multifacility competitive location problems
Journal of Global Optimization
Digital Ecosystems: Ecosystem-Oriented Architectures
Natural Computing: an international journal
A survey: hybrid evolutionary algorithms for cluster analysis
Artificial Intelligence Review
Evolving recurrent neural models of geomagnetic storms
IDEAL'11 Proceedings of the 12th international conference on Intelligent data engineering and automated learning
Evolutionary algorithms and dynamic programming
Theoretical Computer Science
Modified harmony search optimization for constrained design problems
Expert Systems with Applications: An International Journal
A genetic algorithm for scheduling dual flow shops
Expert Systems with Applications: An International Journal
A novel model by evolving partially connected neural network for stock price trend forecasting
Expert Systems with Applications: An International Journal
A new way about using statistical analysis of worst-case execution times
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
Biased random-key genetic algorithms for combinatorial optimization
Journal of Heuristics
Maxima-finding algorithms for multidimensional samples: A two-phase approach
Computational Geometry: Theory and Applications
Medical image processing by using soft computing methods and information fusion
MAMECTIS/NOLASC/CONTROL/WAMUS'11 Proceedings of the 13th WSEAS international conference on mathematical methods, computational techniques and intelligent systems, and 10th WSEAS international conference on non-linear analysis, non-linear systems and chaos, and 7th WSEAS international conference on dynamical systems and control, and 11th WSEAS international conference on Wavelet analysis and multirate systems: recent researches in computational techniques, non-linear systems and control
A new honeybee optimization for constraint reasoning: case of max-CSPs
KES'11 Proceedings of the 15th international conference on Knowledge-based and intelligent information and engineering systems - Volume Part II
Comparison of selection schemes in evolutionary method of path planning
ICCCI'11 Proceedings of the Third international conference on Computational collective intelligence: technologies and applications - Volume Part II
Common scab detection on potatoes using an infrared hyperspectral imaging system
ICIAP'11 Proceedings of the 16th international conference on Image analysis and processing - Volume Part II
AIASABEBI'11 Proceedings of the 11th WSEAS international conference on Applied informatics and communications, and Proceedings of the 4th WSEAS International conference on Biomedical electronics and biomedical informatics, and Proceedings of the international conference on Computational engineering in systems applications
A novel learning scheme for Chebyshev functional link neural networks
Advances in Artificial Neural Systems
On-line regression algorithms for learning mechanical models of robots: A survey
Robotics and Autonomous Systems
Advanced Engineering Informatics
Improving machine dynamics via geometry optimization
Structural and Multidisciplinary Optimization
A genetic algorithm for design of moment-resisting steel frames
Structural and Multidisciplinary Optimization
Dynamic resource scheduling and workflow management in cloud computing
WISS'10 Proceedings of the 2010 international conference on Web information systems engineering
Non-destructive detection of hollow heart in potatoes using hyperspectral imaging
CAIP'11 Proceedings of the 14th international conference on Computer analysis of images and patterns - Volume Part II
Bibliometric analysis of particle swarm optimization (PSO) research 2000-2010
AICI'11 Proceedings of the Third international conference on Artificial intelligence and computational intelligence - Volume Part III
Wireless Personal Communications: An International Journal
Rule-based genetic algorithm for in-service training curriculum plan
ICANCM'11/ICDCC'11 Proceedings of the 2011 international conference on applied, numerical and computational mathematics, and Proceedings of the 2011 international conference on Computers, digital communications and computing
A genetic clustering algorithm using a message-based similarity measure
Expert Systems with Applications: An International Journal
Available-to-promise based bidding decision by fuzzy mathematical programming and genetic algorithm
Computers and Industrial Engineering
Computers and Industrial Engineering
Adaptive Behavior - Animals, Animats, Software Agents, Robots, Adaptive Systems
Stepwise and asynchronous runtime optimization of web service compositions
WISE'11 Proceedings of the 12th international conference on Web information system engineering
A method to reuse old populations in genetic algorithms
EPIA'11 Proceedings of the 15th Portugese conference on Progress in artificial intelligence
Identifying a non-normal evolving stochastic process based upon the genetic methods
IUKM'11 Proceedings of the 2011 international conference on Integrated uncertainty in knowledge modelling and decision making
Mixed variable structural optimization using Firefly Algorithm
Computers and Structures
Information Sciences: an International Journal
Achieving balance between proximity and diversity in multi-objective evolutionary algorithm
Information Sciences: an International Journal
Expert Systems with Applications: An International Journal
A new hybrid ant colony optimization algorithm for feature selection
Expert Systems with Applications: An International Journal
A novel group search optimizer for multi-objective optimization
Expert Systems with Applications: An International Journal
Cryptanalysis of simplified-DES using computational intelligence
WSEAS Transactions on Computers
On classification with missing data using rough-neuro-fuzzy systems
International Journal of Applied Mathematics and Computer Science - Computational Intelligence in Modern Control Systems
A biologically inspired approach to feasible gait learning for a hexapod robot
International Journal of Applied Mathematics and Computer Science - Computational Intelligence in Modern Control Systems
Self-adaptation of parameters in a learning classifier system ensemble machine
International Journal of Applied Mathematics and Computer Science - Computational Intelligence in Modern Control Systems
International Journal of Applied Mathematics and Computer Science
Crowd creativity through combination
C&C '11 Proceedings of the 8th ACM conference on Creativity and cognition
Sink placement on a 3D terrain for border surveillance in wireless sensor networks
Engineering Applications of Artificial Intelligence
A genetic algorithm-based rule extraction system
Applied Soft Computing
Architectural space planning using evolutionary computing approaches: a review
Artificial Intelligence Review
A discrete shuffled frog optimization algorithm
Artificial Intelligence Review
Text mining and probabilistic language modeling for online review spam detection
ACM Transactions on Management Information Systems (TMIS)
Wisdom of artificial crowds algorithm for solving NP-hard problems
International Journal of Bio-Inspired Computation
International Journal of Bio-Inspired Computation
Automatic level generation for platform videogames using genetic algorithms
Proceedings of the 8th International Conference on Advances in Computer Entertainment Technology
Computers and Electronics in Agriculture
New model and heuristics for safety stock placement in general acyclic supply chain networks
Computers and Operations Research
Solving a two-agent single-machine scheduling problem considering learning effect
Computers and Operations Research
Sample-and-accumulate algorithms for belief updating in Bayes networks
UAI'96 Proceedings of the Twelfth international conference on Uncertainty in artificial intelligence
Real time estimation of Bayesian networks
UAI'96 Proceedings of the Twelfth international conference on Uncertainty in artificial intelligence
UAI'93 Proceedings of the Ninth international conference on Uncertainty in artificial intelligence
Instance selection for class imbalanced problems by means of selecting instances more than once
CAEPIA'11 Proceedings of the 14th international conference on Advances in artificial intelligence: spanish association for artificial intelligence
A summary on the study of the medium-term forecasting of the extra-virgen olive oil price
CAEPIA'11 Proceedings of the 14th international conference on Advances in artificial intelligence: spanish association for artificial intelligence
A study of the suitability of evolutionary computation in 3D modeling of forensic remains
CAEPIA'11 Proceedings of the 14th international conference on Advances in artificial intelligence: spanish association for artificial intelligence
Computers and Industrial Engineering
Genetic algorithm based multi-objective reliability optimization in interval environment
Computers and Industrial Engineering
Summarizing a set of time series by averaging: From Steiner sequence to compact multiple alignment
Theoretical Computer Science
Credibility of web applications
Proceedings of the International Conference on Management of Emergent Digital EcoSystems
Evolutionary squeaky wheel optimization: A new framework for analysis
Evolutionary Computation
Characterization of evaluation metrics in topical web crawling based on genetic algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
Immunity-based genetic algorithm for classification rule discovery
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
Adaptive normalization based highly efficient face recognition under uneven environments
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
Immune algorithm for qos multicast routing
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
Artificial immune system for associative classification
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
An adaptive hybrid immune genetic algorithm for maximum cut problem
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
Lamarckian polyclonal programming algorithm for global numerical optimization
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
New operators for faster convergence and better solution quality in modified genetic algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
Fitness sharing genetic algorithm with self-adaptive annealing peaks radii control method
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
A novel clustering fitness sharing genetic algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
A multi-cluster grid enabled evolution framework for aerodynamic airfoil design optimization
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
A search algorithm for global optimisation
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part II
Hierarchical BOA, cluster exact approximation, and ising spin glasses
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Genetic algorithm based on independent component analysis for global optimization
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
A local genetic algorithm for binary-coded problems
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Nature-inspired algorithms for the optimization of optical reference signals
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
An evolutionary approach to shimming undulator magnets for synchrotron radiation sources
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Optimisation of CDMA-based mobile telephone networks: algorithmic studies on real-world networks
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Multi-level ranking for constrained multi-objective evolutionary optimisation
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Performance of evolutionary algorithms on random decomposable problems
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Life history evolution of virtual plants: trading off between growth and reproduction
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
New order-based crossovers for the graph coloring problem
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
A hybrid genetic algorithm for packing in 3d with deepest bottom left with fill method
ADVIS'04 Proceedings of the Third international conference on Advances in Information Systems
Determining optimal decision model for support vector machine by genetic algorithm
CIS'04 Proceedings of the First international conference on Computational and Information Science
Fuzzy logic resource manager: fuzzy rules and experiments
AI*IA'05 Proceedings of the 9th conference on Advances in Artificial Intelligence
AI*IA'05 Proceedings of the 9th conference on Advances in Artificial Intelligence
Discovering predictive variables when evolving cognitive models
ICAPR'05 Proceedings of the Third international conference on Advances in Pattern Recognition - Volume Part I
Noise robustness by using inverse mutations
KI'05 Proceedings of the 28th annual German conference on Advances in Artificial Intelligence
Design of optimal power distribution networks using multiobjective genetic algorithm
KI'05 Proceedings of the 28th annual German conference on Advances in Artificial Intelligence
Evolutionary method for nonlinear systems of equations
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
Genetic optimizations for radial basis function and general regression neural networks
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
Optimizing weighted kernel function for support vector machine by genetic algorithm
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
ISPA'06 Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking
Optimizing matrix multiplication with a classifier learning system
LCPC'05 Proceedings of the 18th international conference on Languages and Compilers for Parallel Computing
Application of SEUMRE global optimization algorithm in automotive magnetorheological brake design
Structural and Multidisciplinary Optimization
A flipping local search genetic algorithm for the multidimensional 0-1 knapsack problem
CAEPIA'05 Proceedings of the 11th Spanish association conference on Current Topics in Artificial Intelligence
Adapting the point of view for behavior-based navigation
CAEPIA'05 Proceedings of the 11th Spanish association conference on Current Topics in Artificial Intelligence
Differential evolution for a constrained combinatorial optimisation problem
International Journal of Metaheuristics
Weighted principal component extraction with genetic algorithms
Applied Soft Computing
A multi-objective approach for the motion planning of redundant manipulators
Applied Soft Computing
A parallel micro evolutionary algorithm for heterogeneous computing and grid scheduling
Applied Soft Computing
A genetic algorithm based heuristic to the multi-period fixed charge distribution problem
Applied Soft Computing
A novel split-and-merge technique for error-bounded polygonal approximation
ICONIP'06 Proceedings of the 13th international conference on Neural Information Processing - Volume Part II
Bio-inspiration: learning creative design principia
EG-ICE'06 Proceedings of the 13th international conference on Intelligent Computing in Engineering and Architecture
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part I
Design of rule-based neurofuzzy networks by means of genetic fuzzy set-based granulation
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part I
Integration of artificial neural networks and genetic algorithm for job-shop scheduling problem
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part I
Stochastic nash equilibrium with a numerical solution method
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part I
A population adaptive based immune algorithm for solving multi-objective optimization problems
ICARIS'06 Proceedings of the 5th international conference on Artificial Immune Systems
Automatic test data generation by multi-objective optimisation
SAFECOMP'06 Proceedings of the 25th international conference on Computer Safety, Reliability, and Security
Topological active nets optimization using genetic algorithms
ICIAR'06 Proceedings of the Third international conference on Image Analysis and Recognition - Volume Part I
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part I
An interactive preference-weight genetic algorithm for multi-criterion satisficing optimization
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part I
Genetic algorithm based fine-grain sleep transistor insertion technique for leakage optimization
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part I
On directed edge recombination crossover for ATSP
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part I
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part I
Computational grid-based 3-tier ART1 data mining for bioinformatics applications
FSKD'06 Proceedings of the Third international conference on Fuzzy Systems and Knowledge Discovery
Implementation of the avoidance algorithm for autonomous mobile robots using fuzzy rules
FSKD'06 Proceedings of the Third international conference on Fuzzy Systems and Knowledge Discovery
Use of cluster validity in designing adaptive gabor wavelet based face recognition
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
Improved harmony search from ensemble of music players
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
Autonomous action generation of humanoid robot from natural language
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
Building a better air defence system using genetic algorithms
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
A GA driven intelligent system for medical diagnosis
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
Genetic and local search algorithms applied to balanced communication networks
Proceedings of the 4th International Symposium on Applied Sciences in Biomedical and Communication Technologies
A self-learning personalized feedback agent for motivating physical activity
Proceedings of the 4th International Symposium on Applied Sciences in Biomedical and Communication Technologies
Adaptive object recognition using context-aware genetic algorithm under dynamic environment
ICAPR'05 Proceedings of the Third international conference on Pattern Recognition and Image Analysis - Volume Part II
An integrated fuzzy cells-classifier
WILF'03 Proceedings of the 5th international conference on Fuzzy Logic and Applications
Simultaneous optimization of weights and structure of an RBF neural network
EA'05 Proceedings of the 7th international conference on Artificial Evolution
EA'05 Proceedings of the 7th international conference on Artificial Evolution
Population structure and artificial evolution
EA'05 Proceedings of the 7th international conference on Artificial Evolution
Biologically-Inspired optimization of circuit performance and leakage: a comparative study
ARCS'06 Proceedings of the 19th international conference on Architecture of Computing Systems
Evolving crossover operators for function optimization
EuroGP'06 Proceedings of the 9th European conference on Genetic Programming
Using subtree crossover distance to investigate genetic programming dynamics
EuroGP'06 Proceedings of the 9th European conference on Genetic Programming
Hierarchical representation and operators in evolutionary design
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
Multi-installment divisible load processing in heterogeneous systems with limited memory
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
Towards automated design of large-scale circuits by combining evolutionary design with data mining
PAKDD'06 Proceedings of the 10th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining
Cascade of fusion for adaptive classifier combination using context-awareness
AMDO'06 Proceedings of the 4th international conference on Articulated Motion and Deformable Objects
A new strategy for parameter estimation of dynamic differential equations based on NSGA II
SEAL'06 Proceedings of the 6th international conference on Simulated Evolution And Learning
An evolutionary fuzzy multi-objective approach to cell formation
SEAL'06 Proceedings of the 6th international conference on Simulated Evolution And Learning
Evolutionary bayesian classifier-based optimization in continuous domains
SEAL'06 Proceedings of the 6th international conference on Simulated Evolution And Learning
Genetic evolution of the ant species in function representation framework
SEAL'06 Proceedings of the 6th international conference on Simulated Evolution And Learning
A parallel solution to the HIP game based on genetic algorithms
SEAL'06 Proceedings of the 6th international conference on Simulated Evolution And Learning
Selecting valuable stock using genetic algorithm
SEAL'06 Proceedings of the 6th international conference on Simulated Evolution And Learning
A method for local tuning of fuzzy membership functions
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
A genetic machine learning algorithm for load balancing in cluster configurations
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
The design of fuzzy controller by means of evolutionary computing and neurofuzzy networks
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
Task assignment for network processor pipelines using GA
APPT'05 Proceedings of the 6th international conference on Advanced Parallel Processing Technologies
Test-Suite reduction using genetic algorithm
APPT'05 Proceedings of the 6th international conference on Advanced Parallel Processing Technologies
New genetic operators for solving TSP: application to microarray gene ordering
PReMI'05 Proceedings of the First international conference on Pattern Recognition and Machine Intelligence
Genetic algorithm for double digest problem
PReMI'05 Proceedings of the First international conference on Pattern Recognition and Machine Intelligence
Parallel island model for attribute reduction
PReMI'05 Proceedings of the First international conference on Pattern Recognition and Machine Intelligence
SETN'06 Proceedings of the 4th Helenic conference on Advances in Artificial Intelligence
Fuzzy granulation-based cascade fuzzy neural networks optimized by GA-RSL
SETN'06 Proceedings of the 4th Helenic conference on Advances in Artificial Intelligence
An adaptive genetic algorithm for the minimal switching graph problem
EvoCOP'05 Proceedings of the 5th European conference on Evolutionary Computation in Combinatorial Optimization
Using genetic algorithms to evolve behavior in cellular automata
UC'05 Proceedings of the 4th international conference on Unconventional Computation
Natural inspiration for artificial adaptivity: some neurocomputing experiences in robotics
UC'05 Proceedings of the 4th international conference on Unconventional Computation
Automated generation and evaluation of dataflow-based test data for object-oriented software
QoSA'05 Proceedings of the First international conference on Quality of Software Architectures and Software Quality, and Proceedings of the Second International conference on Software Quality
Hybrid technique for artificial neural network architecture and weight optimization
PKDD'05 Proceedings of the 9th European conference on Principles and Practice of Knowledge Discovery in Databases
CIS'05 Proceedings of the 2005 international conference on Computational Intelligence and Security - Volume Part I
CIS'05 Proceedings of the 2005 international conference on Computational Intelligence and Security - Volume Part I
A MPC and genetic algorithm based approach for multiple UAVs cooperative search
CIS'05 Proceedings of the 2005 international conference on Computational Intelligence and Security - Volume Part I
Input selection for support vector machines using genetic algorithms
CIS'05 Proceedings of the 2005 international conference on Computational Intelligence and Security - Volume Part I
Adaptation of intelligent characters to changes of game environments
CIS'05 Proceedings of the 2005 international conference on Computational Intelligence and Security - Volume Part I
The effect of mutation on the accumulation of information in a genetic algorithm
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
Linear genetic programming for multi-class object classification
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
Evolutionary design of fuzzy classifiers using information granules
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
Automatic loop-shaping of QFT controllers using GAs and evolutionary computation
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
Multi-item fuzzy inventory model with three constraints: genetic algorithm approach
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
Cascade adaboost classifiers with stage optimization for face detection
ICB'06 Proceedings of the 2006 international conference on Advances in Biometrics
MABS'04 Proceedings of the 2004 international conference on Multi-Agent and Multi-Agent-Based Simulation
Memetic algorithms for nurse rostering
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
Evolving neural mechanisms for an iterated discrimination task: a robot based model
ECAL'05 Proceedings of the 8th European conference on Advances in Artificial Life
On a quantitative measure for modularity based on information theory
ECAL'05 Proceedings of the 8th European conference on Advances in Artificial Life
ECAL'05 Proceedings of the 8th European conference on Advances in Artificial Life
On the unit of selection in sexual populations
ECAL'05 Proceedings of the 8th European conference on Advances in Artificial Life
Evolutionary computation approaches for shape modelling and fitting
EPIA'05 Proceedings of the 12th Portuguese conference on Progress in Artificial Intelligence
Generating test cases for constraint automata by genetic symbiosis algorithm
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Image segmentation based on fuzzy 3-partition entropy approach and genetic algorithm
PDCAT'04 Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies
Genetic local search based on genetic recombination: a case for traveling salesman problem
PDCAT'04 Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies
A genetic algorithm for robot navigation
PDCAT'04 Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies
IWCIA'04 Proceedings of the 10th international conference on Combinatorial Image Analysis
Automated detection of small-size pulmonary nodules based on helical CT images
IPMI'05 Proceedings of the 19th international conference on Information Processing in Medical Imaging
Consecutive identification of ANFIS-Based fuzzy systems with the aid of genetic data granulation
ISNN'06 Proceedings of the Third international conference on Advnaces in Neural Networks - Volume Part II
ISNN'06 Proceedings of the Third international conference on Advnaces in Neural Networks - Volume Part II
ISNN'06 Proceedings of the Third international conference on Advnaces in Neural Networks - Volume Part II
Prediction of plasma enhanced deposition process using GA-Optimized GRNN
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part III
Prediction of radio frequency impedance matching in plasma equipment using neural network
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part III
Modelling tactical driving manoeuvres with GA-INTACT
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part III
Search based software engineering
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
A role-oriented service system architecture for enterprise process collaboration
Computers and Operations Research
Decision support for diffuse pollution management
Environmental Modelling & Software
Performance and cost trade-off in Tracking Area reconfiguration: A Pareto-optimization approach
Computer Networks: The International Journal of Computer and Telecommunications Networking
Path approximation for multi-hop wireless routing under application-based accuracy constraints
Computer Networks: The International Journal of Computer and Telecommunications Networking
BRKGA Algorithm for the Capacitated Arc Routing Problem
Electronic Notes in Theoretical Computer Science (ENTCS)
Computers and Electronics in Agriculture
GISMOO: A new hybrid genetic/immune strategy for multiple-objective optimization
Computers and Operations Research
Entropy-based efficiency enhancement techniques for evolutionary algorithms
Information Sciences: an International Journal
Genetic algorithms for match-up rescheduling of the flexible manufacturing systems
Computers and Industrial Engineering
Guided restarting local search for production planning
Engineering Applications of Artificial Intelligence
Application of Genetic Algorithm in unit selection for Malay speech synthesis system
Expert Systems with Applications: An International Journal
An artificial immune system based algorithm to solve unequal area facility layout problem
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Multi-objective hybrid evolutionary algorithms for radial basis function neural network design
Knowledge-Based Systems
Infrared and visible image fusion using fuzzy logic and population-based optimization
Applied Soft Computing
Analysis and improvement of policy gradient estimation
Neural Networks
A hybrid fuzzy-genetic algorithm
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
Evolutionary algorithm-based background generation for robust object detection
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
A genetic algorithm with age and sexual features
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
Digital filter design using evolvable hardware chip for image enhancement
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
Evolutionary image enhancement for impulsive noise reduction
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
Genetic algorithm based restructuring of web applications using web page relationships and metrics
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
A global optimization method based on simulated annealing and evolutionary strategy
ICIC'06 Proceedings of the 2006 international conference on Intelligent Computing - Volume Part I
A combination genetic algorithm with applications on portfolio optimization
IEA/AIE'06 Proceedings of the 19th international conference on Advances in Applied Artificial Intelligence: industrial, Engineering and Other Applications of Applied Intelligent Systems
IEA/AIE'06 Proceedings of the 19th international conference on Advances in Applied Artificial Intelligence: industrial, Engineering and Other Applications of Applied Intelligent Systems
Population structure of heuristic search algorithm based on adaptive partitioning
IEA/AIE'06 Proceedings of the 19th international conference on Advances in Applied Artificial Intelligence: industrial, Engineering and Other Applications of Applied Intelligent Systems
Genetic algorithm for inventory lot-sizing with supplier selection under fuzzy demand and costs
IEA/AIE'06 Proceedings of the 19th international conference on Advances in Applied Artificial Intelligence: industrial, Engineering and Other Applications of Applied Intelligent Systems
Two-Phase GA-Based model to learn generalized hyper-heuristics for the 2d-cutting stock problem
IBERAMIA-SBIA'06 Proceedings of the 2nd international joint conference, and Proceedings of the 10th Ibero-American Conference on AI 18th Brazilian conference on Advances in Artificial Intelligence
Mirrored traveling tournament problem: an evolutionary approach
IBERAMIA-SBIA'06 Proceedings of the 2nd international joint conference, and Proceedings of the 10th Ibero-American Conference on AI 18th Brazilian conference on Advances in Artificial Intelligence
Pattern sequencing problems by clustering search
IBERAMIA-SBIA'06 Proceedings of the 2nd international joint conference, and Proceedings of the 10th Ibero-American Conference on AI 18th Brazilian conference on Advances in Artificial Intelligence
Multi-objective memetic algorithm applied to the automated synthesis of analog circuits
IBERAMIA-SBIA'06 Proceedings of the 2nd international joint conference, and Proceedings of the 10th Ibero-American Conference on AI 18th Brazilian conference on Advances in Artificial Intelligence
Gait control generation for physically based simulated robots using genetic algorithms
IBERAMIA-SBIA'06 Proceedings of the 2nd international joint conference, and Proceedings of the 10th Ibero-American Conference on AI 18th Brazilian conference on Advances in Artificial Intelligence
Skin lesion diagnosis using fluorescence images
ICIAR'06 Proceedings of the Third international conference on Image Analysis and Recognition - Volume Part II
Restoration performance vs. overhead in a swarm intelligence path management system
ANTS'06 Proceedings of the 5th international conference on Ant Colony Optimization and Swarm Intelligence
Query expansion for contextual question using genetic algorithms
AIRS'06 Proceedings of the Third Asia conference on Information Retrieval Technology
Mining stock market tendency using GA-Based support vector machines
WINE'05 Proceedings of the First international conference on Internet and Network Economics
Packet classification with evolvable hardware hash functions – an intrinsic approach
BioADIT'06 Proceedings of the Second international conference on Biologically Inspired Approaches to Advanced Information Technology
Mining structural databases: an evolutionary multi-objetive conceptual clustering methodology
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Evolutionary design of OAB and AAB communication schedules for interconnection networks
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Evolutionary singularity filter bank optimization for fingerprint image enhancement
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Evolutionary generation of prototypes for a learning vector quantization classifier
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Classifying and counting vehicles in traffic control applications
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
Interactive evolutionary computation framework and the on-chance operator for product design
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
MovieGene: evolutionary video production based on genetic algorithms and cinematic properties
EuroGP'06 Proceedings of the 2006 international conference on Applications of Evolutionary Computing
ICMLC'05 Proceedings of the 4th international conference on Advances in Machine Learning and Cybernetics
A new adaptive crossover operator for the preservation of useful schemata
ICMLC'05 Proceedings of the 4th international conference on Advances in Machine Learning and Cybernetics
The application of modified hierarchy genetic algorithm based on adaptive niches
ICMLC'05 Proceedings of the 4th international conference on Advances in Machine Learning and Cybernetics
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part I
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part I
Parsimonious feature extraction based on genetic algorithms and support vector machines
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part I
Modeling supply chain complexity using a distributed multi-objective genetic algorithm
ICCSA'06 Proceedings of the 6th international conference on Computational Science and Its Applications - Volume Part I
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
An evolutionary approach in information retrieval
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
A unified view on hybrid metaheuristics
HM'06 Proceedings of the Third international conference on Hybrid Metaheuristics
Improvement in the performance of island based genetic algorithms through path relinking
HM'06 Proceedings of the Third international conference on Hybrid Metaheuristics
DaWaK'06 Proceedings of the 8th international conference on Data Warehousing and Knowledge Discovery
Distance guided classification with gene expression programming
ADMA'06 Proceedings of the Second international conference on Advanced Data Mining and Applications
Experimental comparison of feature subset selection using GA and ACO algorithm
ADMA'06 Proceedings of the Second international conference on Advanced Data Mining and Applications
CBR-Based knowledge discovery on results of evolutionary design of logic circuits
ADMA'06 Proceedings of the Second international conference on Advanced Data Mining and Applications
Accelerating fuzzy genetic algorithm for the optimization of steel structures
Structural and Multidisciplinary Optimization
Ad-hoc cluster and workflow for parallel implementation of initial-stage evolutionary optimum design
Structural and Multidisciplinary Optimization
Fitness landscape analysis for the no-wait flow-shop scheduling problem
Journal of Heuristics
International Journal of Bio-Inspired Computation
Neuroevolution with manifold learning for playing Mario
International Journal of Bio-Inspired Computation
Use of a genetic algorithm for building efficient choice designs
International Journal of Bio-Inspired Computation
International Journal of Bio-Inspired Computation
The bayes-optimal feature extraction procedure for pattern recognition using genetic algorithm
ICANN'06 Proceedings of the 16th international conference on Artificial Neural Networks - Volume Part I
A methodology for estimating the product life cycle cost using a hybrid GA and ANN model
ICANN'06 Proceedings of the 16th international conference on Artificial Neural Networks - Volume Part I
Vague neural network controller and its applications
ICANN'06 Proceedings of the 16th international conference on Artificial Neural Networks - Volume Part I
Damping optimisation of hybrid active-passive sandwich composite structures
Advances in Engineering Software
Decision rule-based data models using TRS and NetTRS – methods and algorithms
Transactions on Rough Sets XI
Genetic algorithms for optimization of boids model
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
Shifting patterns discovery in microarrays with evolutionary algorithms
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
RSCTC'06 Proceedings of the 5th international conference on Rough Sets and Current Trends in Computing
RSCTC'06 Proceedings of the 5th international conference on Rough Sets and Current Trends in Computing
The closure temperature fields and shape optimization of arch dam based on genetic algorithms
HPCA'09 Proceedings of the Second international conference on High Performance Computing and Applications
Hybrid genetic algorithm for minimum dominating set problem
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part IV
Coevolutionary dynamics of interacting species
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
Evolutionary algorithm for generation of entertaining shinro logic puzzles
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
Social learning algorithms reaching nash equilibrium in symmetric cournot games
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
Hand posture recognition using real-time artificial evolution
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
Content-based image retrieval of skin lesions by evolutionary feature synthesis
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
Effect of spatial locality on an evolutionary algorithm for multimodal optimization
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
A directed mutation operator for real coded genetic algorithms
EvoApplicatons'10 Proceedings of the 2010 international conference on Applications of Evolutionary Computation - Volume Part I
A model free method to generate human genetics datasets with complex gene-disease relationships
EvoBIO'10 Proceedings of the 8th European conference on Evolutionary Computation, Machine Learning and Data Mining in Bioinformatics
EvoBIO'10 Proceedings of the 8th European conference on Evolutionary Computation, Machine Learning and Data Mining in Bioinformatics
An efficient classifier fusion for face recognition including varying illumination
PCM'05 Proceedings of the 6th Pacific-Rim conference on Advances in Multimedia Information Processing - Volume Part II
A new ciphering method associated with evolutionary algorithm
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part V
Optimization of fuzzy rules: integrated approach for classification problems
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part V
A three-phase knowledge extraction methodology using learning classifier system
DEXA'05 Proceedings of the 16th international conference on Database and Expert Systems Applications
Fuzzy rules generation method for classification problems using rough sets and genetic algorithms
RSFDGrC'05 Proceedings of the 10th international conference on Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing - Volume Part I
“Rule + exception” strategies for knowledge management and discovery
RSFDGrC'05 Proceedings of the 10th international conference on Rough Sets, Fuzzy Sets, Data Mining, and Granular Computing - Volume Part II
A genetic algorithm for dynamic routing and wavelength assignment in WDM networks
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
Topological design of survivable IP networks using metaheuristic approaches
QoS-IP'05 Proceedings of the Third international conference on Quality of Service in Multiservice IP Networks
Simulation of artificial life model in game space
AIS'04 Proceedings of the 13th international conference on AI, Simulation, and Planning in High Autonomy Systems
Identification of gene interaction networks based on evolutionary computation
AIS'04 Proceedings of the 13th international conference on AI, Simulation, and Planning in High Autonomy Systems
CONLL Shared Task '11 Proceedings of the Fifteenth Conference on Computational Natural Language Learning: Shared Task
Optimum design of run-flat tire insert rubber by genetic algorithm
Finite Elements in Analysis and Design
Environmentally realistic fingerprint-image generation with evolutionary filter-bank optimization
Expert Systems with Applications: An International Journal
Inverse design of cellular automata by genetic algorithms: an unconventional programming paradigm
UPP'04 Proceedings of the 2004 international conference on Unconventional Programming Paradigms
Recommender systems using support vector machines
ICWE'05 Proceedings of the 5th international conference on Web Engineering
Self-tuned refresh rate in a swarm intelligence path management system
IWSOS'06/EuroNGI'06 Proceedings of the First international conference, and Proceedings of the Third international conference on New Trends in Network Architectures and Services conference on Self-Organising Systems
The combative accretion model – multiobjective optimisation without explicit pareto ranking
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
Multi-objective maximin sorting scheme
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
A multiobjective evolutionary algorithm for deriving final ranking from a fuzzy outranking relation
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
Recombination of similar parents in EMO algorithms
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
Proposition of selection operation in a genetic algorithm for a job shop rescheduling problem
EMO'05 Proceedings of the Third international conference on Evolutionary Multi-Criterion Optimization
An observer/controller architecture for adaptive reconfigurable stacks
ARCS'05 Proceedings of the 18th international conference on Architecture of Computing Systems conference on Systems Aspects in Organic and Pervasive Computing
Bayesian automatic programming
EuroGP'05 Proceedings of the 8th European conference on Genetic Programming
MDAI'05 Proceedings of the Second international conference on Modeling Decisions for Artificial Intelligence
FSKD'05 Proceedings of the Second international conference on Fuzzy Systems and Knowledge Discovery - Volume Part I
A novel type of niching methods based on steady-state genetic algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
An adaptive GA based on information entropy
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Mutation matrix in evolutionary computation: an application to resource allocation problem
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
A task duplication based scheduling algorithm on GA in grid computing systems
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Analysis of a genetic model with finite populations
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Missing values imputation for a clustering genetic algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
A genetic algorithm with elite crossover and dynastic change strategies
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
FIR frequency sampling filters design based on adaptive particle swarm optimization algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
An evolvable hardware system under varying illumination environment
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Genetic algorithm for multi-objective optimization using GDEA
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Harmony search for generalized orienteering problem: best touring in China
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Clustering problem using adaptive genetic algorithm
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Parallel genetic algorithms on programmable graphics hardware
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Combined kernel function approach in SVM for diagnosis of cancer
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part I
A multi-agent system for protein secondary structure prediction
Transactions on Computational Systems Biology III
Determining regularization parameters for derivative free neural learning
MLDM'05 Proceedings of the 4th international conference on Machine Learning and Data Mining in Pattern Recognition
MLDM'05 Proceedings of the 4th international conference on Machine Learning and Data Mining in Pattern Recognition
Optimal fuzzy modeling based on minimum cluster volume
ADMA'05 Proceedings of the First international conference on Advanced Data Mining and Applications
Image segmentation based on genetic algorithms combination
ICIAP'05 Proceedings of the 13th international conference on Image Analysis and Processing
Selecting the best units in a fleet: performance prediction from equipment peers
ICCBR'05 Proceedings of the 6th international conference on Case-Based Reasoning Research and Development
On optimum multi-installment divisible load processing in heterogeneous distributed systems
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
Ecology based decentralized agent management system
FAABS'04 Proceedings of the Third international conference on Formal Approaches to Agent-Based Systems
The robust flight control of an UAV using MIMO QFT: GA-based automatic loop-shaping method
AsiaSim'04 Proceedings of the Third Asian simulation conference on Systems Modeling and Simulation: theory and applications
Optimizing collaborative filtering recommender systems
AWIC'05 Proceedings of the Third international conference on Advances in Web Intelligence
Optimizing a data warehouse using evolutionary computation
AWIC'05 Proceedings of the Third international conference on Advances in Web Intelligence
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Simulating evolutionary algorithms with eco-grammar systems
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
The allele meta-model – developing a common language for genetic algorithms
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Nonlinear robust identification using multiobjective evolutionary algorithms
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Genetic algorithms for multiobjective controller design
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
GA-selection revisited from an ES-Driven point of view
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
A genetic approach to data dimensionality reduction using a special initial population
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Engineering optimizations via nature-inspired virtual bee algorithms
IWINAC'05 Proceedings of the First international work-conference on the Interplay Between Natural and Artificial Computation conference on Artificial Intelligence and Knowledge Engineering Applications: a bioinspired approach - Volume Part II
Data mining with scatter search
EUROCAST'05 Proceedings of the 10th international conference on Computer Aided Systems Theory
Towards automated controlling of human projectworking based on multiagent systems
EUROCAST'05 Proceedings of the 10th international conference on Computer Aided Systems Theory
An entropy-based multi-population genetic algorithm and its application
ICIC'05 Proceedings of the 2005 international conference on Advances in Intelligent Computing - Volume Part I
Study of improved hierarchy genetic algorithm based on adaptive niches
ICIC'05 Proceedings of the 2005 international conference on Advances in Intelligent Computing - Volume Part I
Adaptive classifier combination for visual information processing using data context-awareness
IDA'05 Proceedings of the 6th international conference on Advances in Intelligent Data Analysis
Expert Systems with Applications: An International Journal
A new Chance-Variance optimization criterion for portfolio selection in uncertain decision systems
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Artificial life feature selection techniques for prostrate cancer diagnosis using TRUS images
ICIAR'05 Proceedings of the Second international conference on Image Analysis and Recognition
Genetic model-based segmentation of chest x-ray images using free form deformations
ICIAR'05 Proceedings of the Second international conference on Image Analysis and Recognition
Context-Aware evolvable system framework for environment identifying systems
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
Adaptive gabor wavelet for efficient object recognition
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
An evolvable hardware system under uneven environment
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
The design and implementation of SAMIR
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
Electricity load prediction using hierarchical fuzzy logic systems
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
A new steganography scheme in the domain of side-match vector quantization
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part III
Individualized product design by evolutionary algorithms
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part III
Adaptive genetic algorithm and quasi-parallel genetic algorithm: application to knapsack problem
LSSC'05 Proceedings of the 5th international conference on Large-Scale Scientific Computing
A genetic algorithm for scheduling of jobs on lines of press machines
LSSC'05 Proceedings of the 5th international conference on Large-Scale Scientific Computing
Scheduling transportation events with grouping genetic algorithms and the heuristic DJD
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
Prostate segmentation using pixel classification and genetic algorithms
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
ICA3PP'10 Proceedings of the 10th international conference on Algorithms and Architectures for Parallel Processing - Volume Part I
A hybrid swarm intelligent method based on genetic algorithm and artificial bee colony
ICSI'10 Proceedings of the First international conference on Advances in Swarm Intelligence - Volume Part I
Near-optimal padding for removing conflict misses
LCPC'02 Proceedings of the 15th international conference on Languages and Compilers for Parallel Computing
IWDW'04 Proceedings of the Third international conference on Digital Watermarking
Invited contribution: hybrid CSP solving
FroCoS'05 Proceedings of the 5th international conference on Frontiers of Combining Systems
Computer-aided sequential diagnosis using fuzzy relations – comparative analysis of methods
ISBMDA'05 Proceedings of the 6th International conference on Biological and Medical Data Analysis
VQ-Based gray watermark hiding scheme and genetic index assignment
PCM'04 Proceedings of the 5th Pacific Rim Conference on Advances in Multimedia Information Processing - Volume Part II
Critical damage reporting in intelligent sensor networks
AI'04 Proceedings of the 17th Australian joint conference on Advances in Artificial Intelligence
Classification rule mining with an improved ant colony algorithm
AI'04 Proceedings of the 17th Australian joint conference on Advances in Artificial Intelligence
Evaluation of evolutionary algorithms for multi-objective train schedule optimization
AI'04 Proceedings of the 17th Australian joint conference on Advances in Artificial Intelligence
Ant colonies discover knight's tours
AI'04 Proceedings of the 17th Australian joint conference on Advances in Artificial Intelligence
SAB'06 Proceedings of the 9th international conference on From Animals to Animats: simulation of Adaptive Behavior
SAB'06 Proceedings of the 9th international conference on From Animals to Animats: simulation of Adaptive Behavior
Simbad: an autonomous robot simulation package for education and research
SAB'06 Proceedings of the 9th international conference on From Animals to Animats: simulation of Adaptive Behavior
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
Optimal design using clonal selection algorithm
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part I
Trial pruning based on genetic algorithm for single-trial EEG classification
Computers and Electrical Engineering
Neural network demand models and evolutionary optimisers for dynamic pricing
Knowledge-Based Systems
Self-adaptive stepsize search for automatic optimal design
Knowledge-Based Systems
PID-type fuzzy logic controller tuning based on particle swarm optimization
Engineering Applications of Artificial Intelligence
Predicting axial capacity of driven piles in cohesive soils using intelligent computing
Engineering Applications of Artificial Intelligence
Computational procedure for optimum shape design based on chained Bezier surfaces parameterization
Engineering Applications of Artificial Intelligence
Dynamic evolution of the genetic search region through fuzzy coding
Engineering Applications of Artificial Intelligence
Predicting torsional strength of RC beams by using Evolutionary Polynomial Regression
Advances in Engineering Software
A gene expression programming environment for fatigue modeling of composite materials
SETN'10 Proceedings of the 6th Hellenic conference on Artificial Intelligence: theories, models and applications
Feature selection for improved phone duration modeling of greek emotional speech
SETN'10 Proceedings of the 6th Hellenic conference on Artificial Intelligence: theories, models and applications
Genetic-Based granular radial basis function neural network
ISNN'10 Proceedings of the 7th international conference on Advances in Neural Networks - Volume Part I
A global inferior-elimination thermodynamics selection strategy for evolutionary algorithm
ISNN'10 Proceedings of the 7th international conference on Advances in Neural Networks - Volume Part I
Memetic feature selection: benchmarking hybridization schemata
HAIS'10 Proceedings of the 5th international conference on Hybrid Artificial Intelligence Systems - Volume Part I
GENNET-Toolbox: an evolving genetic algorithm for neural network training
HAIS'10 Proceedings of the 5th international conference on Hybrid Artificial Intelligence Systems - Volume Part I
Toward “wet” implementation of genetic algorithm for protein engineering
DNA'04 Proceedings of the 10th international conference on DNA computing
A genetic algorithm for VLSI floorplanning using o-tree representation
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
A new evolutionary algorithm for image segmentation
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
Design of fast multidimensional filters using genetic algorithms
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
Genetic paint: a search for salient paintings
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
Toward user-directed evolution of sound synthesis parameters
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
EC'05 Proceedings of the 3rd European conference on Applications of Evolutionary Computing
A decentralized strategy for genetic scheduling in heterogeneous environments
ODBASE'06/OTM'06 Proceedings of the 2006 Confederated international conference on On the Move to Meaningful Internet Systems: CoopIS, DOA, GADA, and ODBASE - Volume Part II
An evolutionary computation approach for designing mobile ad hoc networks
Expert Systems with Applications: An International Journal
Computational Statistics & Data Analysis
Similarity-based training set acquisition for continuous handwriting recognition
Information Sciences: an International Journal
An integrated data mining model for customer credit evaluation
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part III
Parameter estimation of fuzzy controller using genetic optimization and neurofuzzy networks
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part III
Intelligent neuro-fuzzy based predictive control of a continuous stirred tank reactor
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part III
New methodology of computer aided diagnostic system on breast cancer
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part III
Combining SOM and fuzzy rule base for sale forecasting in printed circuit board industry
ISNN'05 Proceedings of the Second international conference on Advances in Neural Networks - Volume Part III
Applying bio-inspired techniques to the p-median problem
IWANN'05 Proceedings of the 8th international conference on Artificial Neural Networks: computational Intelligence and Bioinspired Systems
Identification of fuzzy systems with the aid of genetic fuzzy granulation
IWANN'05 Proceedings of the 8th international conference on Artificial Neural Networks: computational Intelligence and Bioinspired Systems
IWANN'05 Proceedings of the 8th international conference on Artificial Neural Networks: computational Intelligence and Bioinspired Systems
Designing a control system for an autonomous robot using an evolutionary algorithm
IWANN'05 Proceedings of the 8th international conference on Artificial Neural Networks: computational Intelligence and Bioinspired Systems
An introduction to evolutionary algorithms and their applications
ISSADS'05 Proceedings of the 5th international conference on Advanced Distributed Systems
Genetic algorithms for the variable ordering problem of binary decision diagrams
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
Asymptotic convergence of some metaheuristics used for multiobjective optimization
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
EGC'05 Proceedings of the 2005 European conference on Advances in Grid Computing
A service oriented architecture for decision making in engineering design
EGC'05 Proceedings of the 2005 European conference on Advances in Grid Computing
Optimising parallel applications on the grid using irregular array distributions
EGC'05 Proceedings of the 2005 European conference on Advances in Grid Computing
Efficient and experimental meta-heuristics for MAX-SAT problems
WEA'05 Proceedings of the 4th international conference on Experimental and Efficient Algorithms
Automated operator selection on genetic algorithms
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part IV
Deductive sort and climbing sort: New methods for non-dominated sorting
Evolutionary Computation
Multimodal optimization using a bi-objective evolutionary algorithm
Evolutionary Computation
Towards the adaptive organization: formation and conservative reconfiguration of agents coalitions
AIS-ADM 2005 Proceedings of the 2005 international conference on Autonomous Intelligent Systems: agents and Data Mining
A cooperative multi-agent data mining model and its application to medical data on diabetes
AIS-ADM 2005 Proceedings of the 2005 international conference on Autonomous Intelligent Systems: agents and Data Mining
Generation of glyphs for conveying complex information, with application to protein representations
SG'05 Proceedings of the 5th international conference on Smart Graphics
A GA-based mobile RFID localization scheme for internet of things
Personal and Ubiquitous Computing
Traffic-aware routing protocol for wireless sensor networks
Cluster Computing
Modelling Shared Attention Through Relational Reinforcement Learning
Journal of Intelligent and Robotic Systems
Numerical treatment of nonlinear Emden---Fowler equation using stochastic technique
Annals of Mathematics and Artificial Intelligence
Singular optimum topology of skeletal structures with frequency constraints by AGGA
Structural and Multidisciplinary Optimization
Topological Active Volume 3D segmentation model optimized with genetic approaches
Natural Computing: an international journal
The evolution of higher-level biochemical reaction models
Genetic Programming and Evolvable Machines
Information Sciences: an International Journal
Resource management and scalability of the XCSF learning classifier system
Theoretical Computer Science
Forecasting daily lake levels using artificial intelligence approaches
Computers & Geosciences
A flexible on-chip evolution system implemented on a xilinx Virtex-II pro device
ICES'05 Proceedings of the 6th international conference on Evolvable Systems: from Biology to Hardware
ICES'05 Proceedings of the 6th international conference on Evolvable Systems: from Biology to Hardware
Adaptive waveform control in a data transceiver for multi-speed IEEE1394 and USB communication
ICES'05 Proceedings of the 6th international conference on Evolvable Systems: from Biology to Hardware
Looking for prototypes by genetic programming
IWICPAS'06 Proceedings of the 2006 Advances in Machine Vision, Image Processing, and Pattern Analysis international conference on Intelligent Computing in Pattern Analysis/Synthesis
ACSAC'05 Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture
GIScience'06 Proceedings of the 4th international conference on Geographic Information Science
On fast and approximate attack tree computations
ISPEC'10 Proceedings of the 6th international conference on Information Security Practice and Experience
Multiobjective evolutionary induction of subgroup discovery fuzzy rules: a case study in marketing
ICDM'06 Proceedings of the 6th Industrial Conference on Data Mining conference on Advances in Data Mining: applications in Medicine, Web Mining, Marketing, Image and Signal Mining
PRIB'06 Proceedings of the 2006 international conference on Pattern Recognition in Bioinformatics
Improving VG-RAM neural networks performance using knowledge correlation
ICONIP'06 Proceedings of the 13 international conference on Neural Information Processing - Volume Part I
Unified kernel function and its training method for SVM
ICONIP'06 Proceedings of the 13 international conference on Neural Information Processing - Volume Part I
Optimal voltage and reactive power control of local area using genetic algorithm
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part III
KES'06 Proceedings of the 10th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part III
EELC'06 Proceedings of the Third international conference on Emergence and Evolution of Linguistic Communication: symbol Grounding and Beyond
Computational intelligence in bioinformatics
Transactions on Rough Sets III
Identification of nonlinear oscillator models for speech analysis and synthesis
Nonlinear Speech Modeling and Applications
Self-Management of virtual paths in dynamic networks
Self-star Properties in Complex Information Systems
Assessing real-time component contracts through built-in evolutionary testing
Component-Based Software Development for Embedded Systems
Behavior-Based indoor navigation
Ambient Intelligence for Scientific Discovery
Solving predator-prey problem in assembler encoding
ACMOS'09 Proceedings of the 11th WSEAS international conference on Automatic control, modelling and simulation
Intelligent PID robust control based on integral criteria
ACMOS'09 Proceedings of the 11th WSEAS international conference on Automatic control, modelling and simulation
Information Sciences: an International Journal
Evolving clusters in gene-expression data
Information Sciences: an International Journal
A distance between populations for one-point crossover in genetic algorithms
Theoretical Computer Science
A genetic algorithm with the heuristic procedure to solve the multi-line layout problem
Computers and Industrial Engineering
Using ridge regression with genetic algorithm to enhance real estate appraisal forecasting
Expert Systems with Applications: An International Journal
Dynamic estimation of markets exhibiting a prey-predator behavior
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Artificial Intelligence in Medicine
Novel swarm optimization for mining classification rules on thyroid gland data
Information Sciences: an International Journal
Genetic algorithms and sensitivity analysis in production planning optimization
AIC'10/BEBI'10 Proceedings of the 10th WSEAS international conference on applied informatics and communications, and 3rd WSEAS international conference on Biomedical electronics and biomedical informatics
Optimization of performance of genetic algorithm for 0-1 knapsack problems using taguchi method
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
The optimal feature extraction procedure for statistical pattern recognition
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
AI'06 Proceedings of the 19th international conference on Advances in Artificial Intelligence: Canadian Society for Computational Studies of Intelligence
AI methods in solving systems of interval linear equations
ICAISC'06 Proceedings of the 8th international conference on Artificial Intelligence and Soft Computing
Improving evolutionary multi-objective optimization using genders
ICAISC'06 Proceedings of the 8th international conference on Artificial Intelligence and Soft Computing
ICAISC'06 Proceedings of the 8th international conference on Artificial Intelligence and Soft Computing
Estimation of the evolution speed for the quasispecies model: arbitrary alphabet case
ICAISC'06 Proceedings of the 8th international conference on Artificial Intelligence and Soft Computing
Sequential classification via fuzzy relations
ICAISC'06 Proceedings of the 8th international conference on Artificial Intelligence and Soft Computing
ICAISC'06 Proceedings of the 8th international conference on Artificial Intelligence and Soft Computing
Design methodologies of fuzzy set-based fuzzy model based on GAs and information granulation
AI'06 Proceedings of the 19th Australian joint conference on Artificial Intelligence: advances in Artificial Intelligence
AI'06 Proceedings of the 19th Australian joint conference on Artificial Intelligence: advances in Artificial Intelligence
Studies on motion control of a modular robot using cellular automata
AI'06 Proceedings of the 19th Australian joint conference on Artificial Intelligence: advances in Artificial Intelligence
AI'06 Proceedings of the 19th Australian joint conference on Artificial Intelligence: advances in Artificial Intelligence
Selection of wavelet subbands using genetic algorithm for face recognition
ICVGIP'06 Proceedings of the 5th Indian conference on Computer Vision, Graphics and Image Processing
A query-by-example content-based image retrieval system of non-melanoma skin lesions
MCBR-CDS'09 Proceedings of the First MICCAI international conference on Medical Content-Based Retrieval for Clinical Decision Support
New feature splitting criteria for co-training using genetic algorithm optimization
MCS'10 Proceedings of the 9th international conference on Multiple Classifier Systems
A comparison of evolutionary algorithms for automatic calibration of constrained cellular automata
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part I
A real-integer-discrete-coded differential evolution algorithm: a preliminary study
EvoCOP'10 Proceedings of the 10th European conference on Evolutionary Computation in Combinatorial Optimization
A new primal-dual genetic algorithm: case study for the winner determination problem
EvoCOP'10 Proceedings of the 10th European conference on Evolutionary Computation in Combinatorial Optimization
Pathway-based microarray analysis with negatively correlated feature sets for disease classification
ICONIP'11 Proceedings of the 18th international conference on Neural Information Processing - Volume Part I
Evolutionary computation technique applied to HSPF model calibration of a spanish watershed
IDEAL'06 Proceedings of the 7th international conference on Intelligent Data Engineering and Automated Learning
IDEAL'06 Proceedings of the 7th international conference on Intelligent Data Engineering and Automated Learning
Pruning adaptive boosting ensembles by means of a genetic algorithm
IDEAL'06 Proceedings of the 7th international conference on Intelligent Data Engineering and Automated Learning
Using genetic algorithm for network status learning and worm virus detection scheme
IDEAL'06 Proceedings of the 7th international conference on Intelligent Data Engineering and Automated Learning
Incorporating knowledge in evolutionary prototype selection
IDEAL'06 Proceedings of the 7th international conference on Intelligent Data Engineering and Automated Learning
A quay crane scheduling model in container terminals
ICSI'10 Proceedings of the First international conference on Advances in Swarm Intelligence - Volume Part II
Solving extremely difficult MINLP problems using adaptive resolution Micro-GA with tabu search
LION'05 Proceedings of the 5th international conference on Learning and Intelligent Optimization
Gravitational interactions optimization
LION'05 Proceedings of the 5th international conference on Learning and Intelligent Optimization
Designing stream cipher systems using genetic programming
LION'05 Proceedings of the 5th international conference on Learning and Intelligent Optimization
Self-adaptation techniques applied to multi-objective evolutionary algorithms
LION'05 Proceedings of the 5th international conference on Learning and Intelligent Optimization
Learning belief connections in a model for situation awareness
PRIMA'11 Proceedings of the 14th international conference on Agents in Principle, Agents in Practice
Hybrid intelligent speed control of induction machines using direct torque control
MICAI'11 Proceedings of the 10th international conference on Artificial Intelligence: advances in Soft Computing - Volume Part II
Genetic selection of fuzzy model for acute leukemia classification
MICAI'11 Proceedings of the 10th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
Mega process genetic algorithm using grid MP
LSGRID'04 Proceedings of the First international conference on Life Science Grid
LSGRID'04 Proceedings of the First international conference on Life Science Grid
iAuctionMaker: a decision support tool for mixed bundling
AAMAS'04 Proceedings of the 6th AAMAS international conference on Agent-Mediated Electronic Commerce: theories for and Engineering of Distributed Mechanisms and Systems
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Regrouping service sites: a genetic approach using a voronoi diagram
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Evolutionally optimized fuzzy neural networks based on evolutionary fuzzy granulation
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Comparison of global optimization methods for drag reduction in the automotive industry
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part IV
Multi-resolution image analysis for vehicle detection
IbPRIA'05 Proceedings of the Second Iberian conference on Pattern Recognition and Image Analysis - Volume Part I
Eco-Grammar systems as models for parallel evolutionary algorithms
SAGA'05 Proceedings of the Third international conference on StochasticAlgorithms: foundations and applications
Cleaning an arbitrary regular network with mobile agents
ICDCIT'05 Proceedings of the Second international conference on Distributed Computing and Internet Technology
Evaluation of particle swarm optimization effectiveness in classification
WILF'05 Proceedings of the 6th international conference on Fuzzy Logic and Applications
MRF model-based approach for image segmentation using a chaotic multiagent system
WILF'05 Proceedings of the 6th international conference on Fuzzy Logic and Applications
Extraction and analysis of document examiner features from vector skeletons of grapheme ‘th'
DAS'06 Proceedings of the 7th international conference on Document Analysis Systems
Effective black-box testing with genetic algorithms
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
Evolving the structure of the particle swarm optimization algorithms
EvoCOP'06 Proceedings of the 6th European conference on Evolutionary Computation in Combinatorial Optimization
Evolutionary multimodal optimization using the principle of locality
Information Sciences: an International Journal
Load-balanced CDS construction in wireless sensor networks via genetic algorithm
International Journal of Sensor Networks
Cyclic reproduction scheme in genetic algorithm for evolutionary game
Edutainment'06 Proceedings of the First international conference on Technologies for E-Learning and Digital Entertainment
A novel meta-heuristic optimization algorithm: current search
AIKED'12 Proceedings of the 11th WSEAS international conference on Artificial Intelligence, Knowledge Engineering and Data Bases
Solving traveling salesman problems via artificial intelligent search techniques
AIKED'12 Proceedings of the 11th WSEAS international conference on Artificial Intelligence, Knowledge Engineering and Data Bases
EHAC'12/ISPRA/NANOTECHNOLOGY'12 Proceedings of the 11th WSEAS international conference on Electronics, Hardware, Wireless and Optical Communications, and proceedings of the 11th WSEAS international conference on Signal Processing, Robotics and Automation, and proceedings of the 4th WSEAS international conference on Nanotechnology
Towards cost-effective bio-inspired optimization: a prospective study on the GPU architecture
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part II
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part II
Design of two channel quadrature mirror filter bank: a multi-objective approach
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part II
Soft computing approach for location management problem in wireless mobile environment
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part II
Optimized neuro PI based speed control of sensorless induction motor
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part II
IAMGA: intimate-based assortative mating genetic algorithm
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part I
Intelligent genetic algorithm for generation scheduling under deregulated environment
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part I
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part I
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part I
Genetic algorithm based optimal design of hydraulic structures with uncertainty characterization
SEMCCO'11 Proceedings of the Second international conference on Swarm, Evolutionary, and Memetic Computing - Volume Part I
Motion design for service robots
ICIRA'11 Proceedings of the 4th international conference on Intelligent Robotics and Applications - Volume Part I
A partially connected neural evolutionary network for stock price index forecasting
ICIC'11 Proceedings of the 7th international conference on Intelligent Computing: bio-inspired computing and applications
An improved swarm optimized functional link artificial neural network (ISO-FLANN) for classification
Journal of Systems and Software
People transfer in city transport modeled via CPN
EUROCAST'11 Proceedings of the 13th international conference on Computer Aided Systems Theory - Volume Part I
User equilibrium study of AETROS travel route optimization system
EUROCAST'11 Proceedings of the 13th international conference on Computer Aided Systems Theory - Volume Part II
Towards an OpenCL implementation of genetic algorithms on GPUs
SIIS'11 Proceedings of the 2011 international conference on Security and Intelligent Information Systems
A measure oriented training scheme for imbalanced classification problems
PAKDD'11 Proceedings of the 15th international conference on New Frontiers in Applied Data Mining
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume Part I
A general procedure for accurate parameter estimation in dynamic systems using new estimation errors
ANB'10 Proceedings of the 4th international conference on Algebraic and Numeric Biology
A comparative study of a financial agent based simulator across learning scenarios
ADMI'11 Proceedings of the 7th international conference on Agents and Data Mining Interaction
A simple strategy to maintain diversity and reduce crowding in particle swarm optimization
AI'11 Proceedings of the 24th international conference on Advances in Artificial Intelligence
Multi-objective optimisation of power restoration in electricity distribution systems
AI'11 Proceedings of the 24th international conference on Advances in Artificial Intelligence
Information Sciences: an International Journal
Mutagenic Primer Design for Mismatch PCR-RFLP SNP Genotyping Using a Genetic Algorithm
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
WSEAS TRANSACTIONS on COMMUNICATIONS
Multimedia Tools and Applications
A survey of view selection methods
ACM SIGMOD Record
Economic-based resource allocation for reliable Grid-computing service based on Grid Bank
Future Generation Computer Systems
Evolutionary computation and its applications in neural and fuzzy systems
Applied Computational Intelligence and Soft Computing
Applied Computational Intelligence and Soft Computing
Optimal nonlinear observer with PSO approach in chaotic systems based on synchronization
ACA'12 Proceedings of the 11th international conference on Applications of Electrical and Computer Engineering
ACA'12 Proceedings of the 11th international conference on Applications of Electrical and Computer Engineering
Optimal arrangement of buoys observable by means of radar
CSCC'11 Proceedings of the 2nd international conference on Circuits, Systems, Communications & Computers
Four machine learning algorithms for biometrics fusion: a comparative study
Applied Computational Intelligence and Soft Computing
FGA temperature control for incubating egg
Advances in Fuzzy Systems - Special issue on Fuzzy Functions, Relations, and Fuzzy Transforms: Theoretical Aspects and Applications to Fuzzy Systems
Classifying high-dimensional patterns using a fuzzy logic discriminant network
Advances in Fuzzy Systems - Special issue on Hybrid Biomedical Intelligent Systems
A hybrid nature-inspired optimizer for wireless mesh networks design
Computer Communications
Utility driven optimization of real time data broadcast schedules
Applied Soft Computing
On particle swarm optimization for MIMO channel estimation
Journal of Electrical and Computer Engineering
Evolutionary optimization of electric power distribution using the dandelion code
Journal of Electrical and Computer Engineering - Special issue on Applications of Heuristics and Metaheuristics in Power Systems
Journal of Electrical and Computer Engineering - Special issue on Applications of Heuristics and Metaheuristics in Power Systems
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Comparing the dimensionality reduction methods in gene expression databases
Expert Systems with Applications: An International Journal
De Novo Design of Potential RecA Inhibitors Using MultiObjective Optimization
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
ADA'04 Proceedings of the 3rd international conference on Astronomical Data Analysis
Using genetic algorithms for query reformulation
FDIA'07 Proceedings of the 1st BCS IRSG conference on Future Directions in Information Access
Optimization of distribution of centers in the supply chain using genetic algorithms
ACMIN'12 Proceedings of the 14th international conference on Automatic Control, Modelling & Simulation, and Proceedings of the 11th international conference on Microelectronics, Nanoelectronics, Optoelectronics
BICA'12 Proceedings of the 5th WSEAS congress on Applied Computing conference, and Proceedings of the 1st international conference on Biologically Inspired Computation
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Automating the drug scheduling of cancer chemotherapy via evolutionary computation
Artificial Intelligence in Medicine
Model-based interpretation of cardiac beats by evolutionary algorithms: signal and model interaction
Artificial Intelligence in Medicine
Use of genetic algorithms for neural networks to predict community-acquired pneumonia
Artificial Intelligence in Medicine
Feature subset selection by genetic algorithms and estimation of distribution algorithms
Artificial Intelligence in Medicine
Genetic design of feature spaces for pattern classifiers
Artificial Intelligence in Medicine
Evolutionary computing for knowledge discovery in medical diagnosis
Artificial Intelligence in Medicine
Diagnosis of gastric carcinoma by classification on feature projections
Artificial Intelligence in Medicine
Data mining and genetic algorithm based gene/SNP selection
Artificial Intelligence in Medicine
Scheduling in HC and Grids Using a Parallel CHC
Computational Intelligence
Computational Intelligence
Evolutionary design of decision trees for medical application
Wiley Interdisciplinary Reviews: Data Mining and Knowledge Discovery
A homotopy approach to improving PEM identification of ARMAX models
Automatica (Journal of IFAC)
Improving differential evolution algorithm by synergizing different improvement mechanisms
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Satisficing solutions of multi-objective fuzzy optimization problems using genetic algorithm
Applied Soft Computing
Strategic Fire and Rescue Service decision making using evolutionary algorithms
Advances in Engineering Software
Localising and quantifying damage by means of a multi-chromosome genetic algorithm
Advances in Engineering Software
An evolutionary factor analysis computation for mining website structures
Expert Systems with Applications: An International Journal
Multiobjective Evolutionary Algorithms for Portfolio Management: A comprehensive literature review
Expert Systems with Applications: An International Journal
Biological invasion-inspired migration in distributed evolutionary algorithms
Information Sciences: an International Journal
Introducing intervention targeting into estimation of distribution algorithms
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Boosting the detection of modular community structure with genetic algorithms and local search
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Software note: Use of a novel Hill-climbing genetic algorithm in protein folding simulations
Computational Biology and Chemistry
Fast and high precision algorithms for optimization in large-scale genomic problems
Computational Biology and Chemistry
A combination of numeric genetic algorithm and tabu search can be applied to molecular docking
Computational Biology and Chemistry
MoDEL: an efficient strategy for ungapped local multiple alignment
Computational Biology and Chemistry
The modified differential evolution algorithm (MDEA)
ACIIDS'12 Proceedings of the 4th Asian conference on Intelligent Information and Database Systems - Volume Part III
Neural networks ensembles approach for simulation of solar arrays degradation process
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
Integration of intelligent information technologies ensembles for modeling and classification
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
Evolutionary neural networks for product design tasks
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
An evolutionary approach to generate solutions for conflict scenarios
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
ReactGA --- the search space transformation for the local optimum escaping
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
Research of neural network classifier based on FCM and PSO for breast cancer classification
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
A GA-Based wrapper feature selection for animal breeding data mining
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part II
Dynamics of networks evolved for cellular automata computation
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part II
Modified approximation based optimization strategy
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part II
Research: An efficient link enhancement strategy for computer networks using genetic algorithm
Computer Communications
Research: Source-based delay-bounded multicasting in multimedia networks
Computer Communications
Meta-heuristic algorithms for channel scheduling problem in wireless sensor networks
International Journal of Communication Systems
A multi-level genetic algorithm for a multi-stage space allocation problem
Mathematical and Computer Modelling: An International Journal
A class of multiobjective linear programming models with random rough coefficients
Mathematical and Computer Modelling: An International Journal
A hybrid evolutionary learning algorithm for TSK-type fuzzy model design
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
An agent-based bioeconomic model of a fishery with input controls
Mathematical and Computer Modelling: An International Journal
Selection of genetic algorithm operators for urban drainage model parameter optimisation
Mathematical and Computer Modelling: An International Journal
Optimising the mutual information of ecological data clusters using evolutionary algorithms
Mathematical and Computer Modelling: An International Journal
Application of immune algorithms on solving minimum-cost problem of water distribution network
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Improving technical trading systems by using a new MATLAB-based genetic algorithm procedure
Mathematical and Computer Modelling: An International Journal
A class of expected value multi-objective programming problems with random rough coefficients
Mathematical and Computer Modelling: An International Journal
A class of multiobjective linear programming model with fuzzy random coefficients
Mathematical and Computer Modelling: An International Journal
Using immune-based genetic algorithms for single trader's periodic marketing problem
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Military antenna design using simple and competent genetic algorithms
Mathematical and Computer Modelling: An International Journal
AFSCN scheduling: How the problem and solution have evolved
Mathematical and Computer Modelling: An International Journal
Some assignment problems arising from multiple target tracking
Mathematical and Computer Modelling: An International Journal
Estimation of the quasi-linear viscoelastic parameters using a genetic algorithm
Mathematical and Computer Modelling: An International Journal
The GARCH-stable option pricing model
Mathematical and Computer Modelling: An International Journal
An improved genetic algorithm for rainfall-runoff model calibration and function optimization
Mathematical and Computer Modelling: An International Journal
Optimal capacitor allocation using fuzzy reasoning and genetic algorithms for distribution systems
Mathematical and Computer Modelling: An International Journal
Genetic algorithms in statistical tolerancing
Mathematical and Computer Modelling: An International Journal
Analysis of crossovers and selections in a coarse-grained parallel genetic algorithm
Mathematical and Computer Modelling: An International Journal
Multi-strategic approach of fast composition of web services
APWeb'12 Proceedings of the 14th Asia-Pacific international conference on Web Technologies and Applications
A new design defects classification: marrying detection and correction
FASE'12 Proceedings of the 15th international conference on Fundamental Approaches to Software Engineering
Solution to the assortment problem via the genetic algorithm
Mathematical and Computer Modelling: An International Journal
Genetic Algorithms and Very Fast Simulated Reannealing: A comparison
Mathematical and Computer Modelling: An International Journal
Cartesian genetic programming for memristive logic circuits
EuroGP'12 Proceedings of the 15th European conference on Genetic Programming
An investigation of fitness sharing with semantic and syntactic distance metrics
EuroGP'12 Proceedings of the 15th European conference on Genetic Programming
EvoCOP'12 Proceedings of the 12th European conference on Evolutionary Computation in Combinatorial Optimization
Recurrent genetic algorithms: sustaining evolvability
EvoCOP'12 Proceedings of the 12th European conference on Evolutionary Computation in Combinatorial Optimization
EvoBIO'12 Proceedings of the 10th European conference on Evolutionary Computation, Machine Learning and Data Mining in Bioinformatics
EvoApplications'12 Proceedings of the 2012t European conference on Applications of Evolutionary Computation
Evolutionary optimization of decomposition strategies for logical functions
SIDE'12 Proceedings of the 2012 international conference on Swarm and Evolutionary Computation
Random state genetic algorithm
SIDE'12 Proceedings of the 2012 international conference on Swarm and Evolutionary Computation
Evolutionary multi-objective optimization of personal computer hardware configurations
SIDE'12 Proceedings of the 2012 international conference on Swarm and Evolutionary Computation
Mathematical and Computer Modelling: An International Journal
A solving method of an mdp with a constraint by genetic algorithms
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Constructing petri net models using genetic search
Mathematical and Computer Modelling: An International Journal
Performance evaluation of evolutionary class of algorithms-An application to 0-1 knapsack problem
Mathematical and Computer Modelling: An International Journal
An analytic modeling and system identification study of rotor/fuselage dynamics at Hover
Mathematical and Computer Modelling: An International Journal
A bibliography on computational molecular biology and genetics
Mathematical and Computer Modelling: An International Journal
Genetically improved presequences for euclidean traveling salesman problems
Mathematical and Computer Modelling: An International Journal
Unrelated parallel machine scheduling using local search
Mathematical and Computer Modelling: An International Journal
Computers and Industrial Engineering
Computers and Electronics in Agriculture
Optimal mutation probability for genetic algorithms
Mathematical and Computer Modelling: An International Journal
Genetic algorithms in constrained optimization
Mathematical and Computer Modelling: An International Journal
Applications of Artificial Neural Networks to combat simulations
Mathematical and Computer Modelling: An International Journal
Dependent-chance goal programming and its genetic algorithm based approach
Mathematical and Computer Modelling: An International Journal
DEVS approximation of infiltration using genetic algorithm optimization of a fuzzy system
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Optimization of a pumping ship trajectory to clean oil contamination in the open sea
Mathematical and Computer Modelling: An International Journal
An agent-based parallel approach for the job shop scheduling problem with genetic algorithms
Mathematical and Computer Modelling: An International Journal
Concurrency and Computation: Practice & Experience
Mathematical and Computer Modelling: An International Journal
Relation-based neurofuzzy networks with evolutionary data granulation
Mathematical and Computer Modelling: An International Journal
Autonomous robot navigation using adaptive potential fields
Mathematical and Computer Modelling: An International Journal
Nonlinear channel blind equalization using hybrid genetic algorithm with simulated annealing
Mathematical and Computer Modelling: An International Journal
A novel dynamic survivable routing in WDM optical networks with/without sparse wavelength conversion
Optical Switching and Networking
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Using a genetic algorithm to determine optimal complementary learning clusters for ESL in Taiwan
Expert Systems with Applications: An International Journal
Improving the ranking quality of medical image retrieval using a genetic feature selection method
Decision Support Systems
Artificial Intelligence in Medicine
Sequence design for MPG QS-CDMA systems based on heuristic combinatorial optimization
Wireless Communications & Mobile Computing
An evolutionary tuned driving system for virtual car racing games: The AUTOPIA driver
International Journal of Intelligent Systems
A Markovianity based optimisation algorithm
Genetic Programming and Evolvable Machines
A two-leveled symbiotic evolutionary algorithm for clustering problems
Applied Intelligence
Applied soft computing for optimum design of structures
Structural and Multidisciplinary Optimization
Simple heuristics for the assembly line worker assignment and balancing problem
Journal of Heuristics
An Optimum Vertical Handoff Decision Algorithm Based on Adaptive Fuzzy Logic and Genetic Algorithm
Wireless Personal Communications: An International Journal
A new unsupervised feature selection method for text clustering based on genetic algorithms
Journal of Intelligent Information Systems
Secure multidimensional range queries over outsourced data
The VLDB Journal — The International Journal on Very Large Data Bases
Multi-objective fuzzy assembly line balancing using genetic algorithms
Journal of Intelligent Manufacturing
Journal of Intelligent Manufacturing
Journal of Intelligent Manufacturing
Analysis of new niching genetic algorithms for finding multiple solutions in the job shop scheduling
Journal of Intelligent Manufacturing
Genetic application in a facility location problem with random demand within queuing framework
Journal of Intelligent Manufacturing
Artificial Intelligence Review
Asset portfolio optimization using support vector machines and real-coded genetic algorithm
Journal of Global Optimization
A dynamic neighborhood learning based particle swarm optimizer for global numerical optimization
Information Sciences: an International Journal
Topological Active Models optimization with Differential Evolution
Expert Systems with Applications: An International Journal
Random assignment method based on genetic algorithms and its application in resource allocation
Expert Systems with Applications: An International Journal
A note on teaching-learning-based optimization algorithm
Information Sciences: an International Journal
Optimisation algorithms for the cooperative communication problem in mobile ad-hoc networks
International Journal of Ad Hoc and Ubiquitous Computing
Optimal design of constraint engineering systems: application of mutable smart bee algorithm
International Journal of Bio-Inspired Computation
Reverse engineering of GRNs: an evolutionary approach based on the tsallis entropy
Proceedings of the 14th annual conference on Genetic and evolutionary computation
A test problem with adjustable degrees of overlap and conflict among subproblems
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Higher-order linkage learning in the ECGA
Proceedings of the 14th annual conference on Genetic and evolutionary computation
A memory efficient and continuous-valued compact EDA for large scale problems
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Local preference-inspired co-evolutionary algorithms
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Depictions of genotypic space for evaluating the suitability of different recombination operators
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Estimating the evolution direction of populations to improve genetic algorithms
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Image segmentation using a genetic algorithm and hierarchical local search
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Dynamic segregative genetic algorithm for optimizing the variable ordering of ROBDDs
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Extracting and using building blocks of knowledge in learning classifier systems
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Automated mechanism design with co-evolutionary hierarchical genetic programming techniques
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Metaheuristic approaches to tool selection optimisation
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Hybrid metaheuristic particle filters for stochastic volatility estimation
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Darwinian rivers: evolving stream topographies to match hyporheic residence time distributions
Proceedings of the 14th annual conference on Genetic and evolutionary computation
On the analysis of the simple genetic algorithm
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Multi-objective GA with fuzzy decision making for security enhancement in power system
Applied Soft Computing
Image segmentation using fuzzy logic, neural networks and genetic algorithms: survey and trends
Machine Graphics & Vision International Journal
Efficient Dual Domain Decoding Of Linear Block Codes Using Genetic Algorithms
Journal of Electrical and Computer Engineering
Advanced Engineering Informatics
Arc-elasticity and hierarchical exploration of the neighborhood of solutions in mechanical design
Advanced Engineering Informatics
Computer Methods and Programs in Biomedicine
Purposeful model parameters genesis in simple genetic algorithms
Computers & Mathematics with Applications
Multi-agent simulated annealing algorithm based on differential evolution algorithm
International Journal of Bio-Inspired Computation
On some properties of binary chromosomes and states of artificial immune systems
International Journal of Data Analysis Techniques and Strategies
Cooperation and competition in multidisciplinary optimization
Computational Optimization and Applications
Proceedings of the 2012 Symposium on Simulation for Architecture and Urban Design
Cognitive Radio Engine Design Based on Ant Colony Optimization
Wireless Personal Communications: An International Journal
A study on learning robustness using asynchronous 1D cellular automata rules
Natural Computing: an international journal
A random sampling approach to worst-case design of structures
Structural and Multidisciplinary Optimization
Learning to capture the functions of genetic regulatory networks using graph motifs
International Journal of Advanced Intelligence Paradigms
EWRL'11 Proceedings of the 9th European conference on Recent Advances in Reinforcement Learning
Hybrid heuristics for multimodal homecare scheduling
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Score based aggregation of microRNA target orderings
ISBRA'12 Proceedings of the 8th international conference on Bioinformatics Research and Applications
International Journal of Data Mining and Bioinformatics
Evolution-enhanced multiscale overcomplete dictionaries learning for image denoising
Engineering Applications of Artificial Intelligence
Dynamic rough clustering and its applications
Applied Soft Computing
On an evolutionary approach for constrained optimization problem solving
Applied Soft Computing
Color image segmentation using parallel OptiMUSIG activation function
Applied Soft Computing
Genetic algorithm combined with support vector machine for building an intrusion detection system
Proceedings of the International Conference on Advances in Computing, Communications and Informatics
A hybrid genetic algorithm for parameter identification of bioprocess models
LSSC'11 Proceedings of the 8th international conference on Large-Scale Scientific Computing
Estimation of urban commuting patterns using cellphone network data
Proceedings of the ACM SIGKDD International Workshop on Urban Computing
A genetic algorithm for weapon target assignment problem
SCSC '09 Proceedings of the 2009 Summer Computer Simulation Conference
Journal of Control Science and Engineering
An adaptive amplifier system for wireless sensor network applications
Journal of Electrical and Computer Engineering
Expert Systems with Applications: An International Journal
Using genetic algorithm based knowledge refinement model for dividend policy forecasting
Expert Systems with Applications: An International Journal
Vehicle routing problem with time windows considering overtime and outsourcing vehicles
Expert Systems with Applications: An International Journal
Genetic optimization of a vehicle fuzzy decision system for intersections
Expert Systems with Applications: An International Journal
A genetic search of patterns of behaviour in OSS communities
Expert Systems with Applications: An International Journal
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part II
Multibiometric system using level set method and particle swarm optimization
ICIAR'12 Proceedings of the 9th international conference on Image Analysis and Recognition - Volume Part II
ICIAR'12 Proceedings of the 9th international conference on Image Analysis and Recognition - Volume Part II
A 2d rigid point registration for satellite imaging using genetic algorithms
ICISP'12 Proceedings of the 5th international conference on Image and Signal Processing
DWT based-approach for color image compression using genetic algorithm
ICISP'12 Proceedings of the 5th international conference on Image and Signal Processing
Comparative analysis of feature selection methods for blood cell recognition in leukemia
MLDM'12 Proceedings of the 8th international conference on Machine Learning and Data Mining in Pattern Recognition
A predictive speller controlled by a brain-computer interface based on motor imagery
ACM Transactions on Computer-Human Interaction (TOCHI)
Feature Based Affine Invariant Watermarking Robust to Geometric Distortions
Fundamenta Informaticae
Representation in evolutionary computation
WCCI'12 Proceedings of the 2012 World Congress conference on Advances in Computational Intelligence
Quo vadis, evolutionary computation?: on a growing gap between theory and practice
WCCI'12 Proceedings of the 2012 World Congress conference on Advances in Computational Intelligence
Evolutionary search-based test generation for software product line feature models
CAiSE'12 Proceedings of the 24th international conference on Advanced Information Systems Engineering
ISNN'12 Proceedings of the 9th international conference on Advances in Neural Networks - Volume Part I
ICIC'12 Proceedings of the 8th international conference on Intelligent Computing Theories and Applications
Computers & Mathematics with Applications
Software for hydrogeologic time series analysis, interfacing data with physical insight
Environmental Modelling & Software
A Multi-swarm Approach to Multi-objective Flexible Job-shop Scheduling Problems
Fundamenta Informaticae - Swarm Intelligence
Improved Resulted Word Counts Optimizer for Automatic Image Annotation Problem
Fundamenta Informaticae - Advances in Artificial Intelligence and Applications
Non-dominated Rank based Sorting Genetic Algorithms
Fundamenta Informaticae
Game team balancing by using particle swarm optimization
Knowledge-Based Systems
Pareto-efficient hybridization for multi-objective recommender systems
Proceedings of the sixth ACM conference on Recommender systems
Unsupervised Pixel Classification in Satellite Imagery: A Two-stage Fuzzy Clustering Approach
Fundamenta Informaticae
A Neuro-Genetic Framework for Pattern Recognition in Complex Systems
Fundamenta Informaticae - Membrane Computing
ACM Transactions on Graphics (TOG) - Proceedings of ACM SIGGRAPH Asia 2012
Particle Swarms for Linearly Constrained Optimisation
Fundamenta Informaticae
RBFFCA: A Hybrid Pattern Classifier Using Radial Basis Function and Fuzzy Cellular Automata
Fundamenta Informaticae - Special issue on DLT'04
GA approaches to HMM optimization for automatic speech recognition
MCPR'12 Proceedings of the 4th Mexican conference on Pattern Recognition
Population-Based incremental with adaptive learning rate strategy
ICSI'12 Proceedings of the Third international conference on Advances in Swarm Intelligence - Volume Part I
ICSI'12 Proceedings of the Third international conference on Advances in Swarm Intelligence - Volume Part I
Image retrieval based on GA integrated color vector quantization and curvelet transform
ICSI'12 Proceedings of the Third international conference on Advances in Swarm Intelligence - Volume Part I
ICSI'12 Proceedings of the Third international conference on Advances in Swarm Intelligence - Volume Part I
Solving multidimensional 0---1 knapsack problem with an artificial fish swarm algorithm
ICCSA'12 Proceedings of the 12th international conference on Computational Science and Its Applications - Volume Part III
A genetic algorithm for the job shop on an ASRS warehouse
ICCSA'12 Proceedings of the 12th international conference on Computational Science and Its Applications - Volume Part III
ICCSA'12 Proceedings of the 12th international conference on Computational Science and Its Applications - Volume Part III
Feature selection for improved phishing detection
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
Learning predictive models for financial time series by using agent based simulations
Transactions on Compuational Collective Intelligence VI
IDEAL'12 Proceedings of the 13th international conference on Intelligent Data Engineering and Automated Learning
Proceedings of the 4th ACM/IEEE International Conference on Information and Communication Technologies and Development
Computers and Operations Research
BSTBGA: A hybrid genetic algorithm for constrained multi-objective optimization problems
Computers and Operations Research
A New Density-Based Scheme for Clustering Based on Genetic Algorithm
Fundamenta Informaticae
Basic principles for understanding evolutionary algorithms
Fundamenta Informaticae
Theory and Application of Cellular Automata For Pattern Classification
Fundamenta Informaticae - Cellular Automata
Ensembles of Classifiers Based on Approximate Reducts
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2000)
Ecological Model of Virus-Evolutionary Genetic Algorithm
Fundamenta Informaticae
Differential Evolution Based Fuzzy Logic Controller for Nonlinear Process Control
Fundamenta Informaticae
Relation Between VGA-classifier and MLP : Determination of Network Architecture
Fundamenta Informaticae
Multi-objective optimization with fuzzy measures and its application to flow-shop scheduling
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Dual path communications over multiple spanning trees for networked control systems
Engineering Applications of Artificial Intelligence
Computers & Mathematics with Applications
A Study on Partitioned Iterative Function Systems for Image Compression
Fundamenta Informaticae
Unearthing a Fossil from the History of Evolutionary Computation
Fundamenta Informaticae
Selection Mechanisms in Evolutionary Algorithms
Fundamenta Informaticae
On Evolutionary Exploration and Exploitation
Fundamenta Informaticae
ε - Optimal Stopping Time for Genetic Algorithms
Fundamenta Informaticae
Revisiting the Memory of Evolution
Fundamenta Informaticae
Some Aspects of Ant Systems for the TSP
Fundamenta Informaticae
New Trends in Parallel and Distributed Evolutionary Computing
Fundamenta Informaticae
Evolutionary Computation: Where we are and where we're headed
Fundamenta Informaticae
Controlled H Systems and Chomsky Hierarchy
Fundamenta Informaticae
Decision Algorithms: a Survey of Rough Set - Theoretic Methods
Fundamenta Informaticae
Theoretical Foundations of Order-Based Genetic Algorithms
Fundamenta Informaticae
Genetic algorithms: concepts, issues and a case study of grammar induction
Proceedings of the CUBE International Information Technology Conference
A clustering approach for structural k-anonymity in social networks using genetic algorithm
Proceedings of the CUBE International Information Technology Conference
Information Sciences: an International Journal
A genetic algorithm for the Zen Puzzle Garden game
Natural Computing: an international journal
The Gestalt heuristic: emerging abstraction to improve combinatorial search
Natural Computing: an international journal
Multiobjective topology optimization of truss structures with kinematic stability repair
Structural and Multidisciplinary Optimization
Variability aware SVM macromodel based design centering of analog circuits
Analog Integrated Circuits and Signal Processing
Competent genetic algorithms for weighing matrices
Journal of Combinatorial Optimization
Analysis of a triploid genetic algorithm over deceptive and epistatic landscapes
ACM SIGAPP Applied Computing Review
A new method of mining data streams using harmony search
Journal of Intelligent Information Systems
Expert Systems with Applications: An International Journal
Task granularity policies for deploying bag-of-task applications on global grids
Future Generation Computer Systems
Improving volunteer computing scheduling for evolutionary algorithms
Future Generation Computer Systems
Fingerprint matching with an evolutionary approach
ICB'07 Proceedings of the 2007 international conference on Advances in Biometrics
Automatic fingerprints image generation using evolutionary algorithm
ICB'07 Proceedings of the 2007 international conference on Advances in Biometrics
Biometric hashing based on genetic selection and its application to on-line signatures
ICB'07 Proceedings of the 2007 international conference on Advances in Biometrics
Efficient and accurate construction of genetic linkage maps from noisy and missing genotyping data
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
A hybrid particle Swarm optimization algorithm for clustering analysis
DaWaK'07 Proceedings of the 9th international conference on Data Warehousing and Knowledge Discovery
Stochastic methods for dynamic OVSF code assignment in 3G networks
SAGA'07 Proceedings of the 4th international conference on Stochastic Algorithms: foundations and applications
On the Evolution of Hardware Circuits via Reconfigurable Architectures
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Particle swarm optimization applied to image vector quantization
LSMS'07 Proceedings of the 2007 international conference on Life System Modeling and Simulation
Stochastic optimization algorithm based dynamic resource assignment for 3G systems
NEW2AN'07 Proceedings of the 7th international conference on Next Generation Teletraffic and Wired/Wireless Advanced Networking
Spatial and temporal resource allocation for adaptive parallel genetic algorithm
UC'07 Proceedings of the 6th international conference on Unconventional Computation
Tracking of multiple targets using on-line learning for appearance model adaptation
ICIAR'07 Proceedings of the 4th international conference on Image Analysis and Recognition
Advanced Engineering Informatics
Multi-objective decision-making methodology to create an optimal design chain partner combination
Computers and Industrial Engineering
Uniform parallel-machine scheduling to minimize makespan with position-based learning curves
Computers and Industrial Engineering
Toward perpetually organized unit-load warehouses
Computers and Industrial Engineering
A new meta-heuristic method: Ray Optimization
Computers and Structures
Information Sciences: an International Journal
Multi-product sequencing and lot-sizing under uncertainties: A memetic algorithm
Engineering Applications of Artificial Intelligence
An evolutionary approach for high dimensional attribute selection
International Journal of Intelligent Information and Database Systems
A decision support system for patient scheduling in travel vaccine administration
Decision Support Systems
Rescheduling of elective patients upon the arrival of emergency patients
Decision Support Systems
Multi-physics optimization of three-dimensional microvascular polymeric components
Journal of Computational Physics
Information Sciences: an International Journal
Enhancing data parallelism for Ant Colony Optimization on GPUs
Journal of Parallel and Distributed Computing
Journal of Parallel and Distributed Computing
Pose Estimation for 3D Workpiece Grasping in Industrial Environment Based on Evolutionary Algorithm
Journal of Intelligent and Robotic Systems
A local multiobjective optimization algorithm using neighborhood field
Structural and Multidisciplinary Optimization
Parallelization of genetic operations that takes building-block linkage into account
Artificial Life and Robotics
Comparison of metaheuristic strategies for peakbin selection in proteomic mass spectrometry data
Information Sciences: an International Journal
Information Sciences: an International Journal
Information Sciences: an International Journal
An Adaptive Match-Making System reflecting the explicit and implicit preferences of users
Expert Systems with Applications: An International Journal
Block-matching algorithm based on differential evolution for motion estimation
Engineering Applications of Artificial Intelligence
Project scheduling under uncertainty using fuzzy modelling and solving techniques
Engineering Applications of Artificial Intelligence
Modeling global temperature changes with genetic programming
Computers & Mathematics with Applications
Hybrid intelligent systems for predicting software reliability
Applied Soft Computing
Particle swarm optimization of interval type-2 fuzzy systems for FPGA applications
Applied Soft Computing
Adaptive directed mutation for real-coded genetic algorithms
Applied Soft Computing
Combining GA and iterative MVDR for DOA estimation in space-time CDMA systems
Computers and Electrical Engineering
A new heuristics/GA-based algorithm for the management of the S-DRWA in IP/WDM networks
APNOMS'07 Proceedings of the 10th Asia-Pacific conference on Network Operations and Management Symposium: managing next generation networks and services
APNOMS'07 Proceedings of the 10th Asia-Pacific conference on Network Operations and Management Symposium: managing next generation networks and services
Recombination of similar parents in SMS-EMOA on many-objective 0/1 knapsack problems
PPSN'12 Proceedings of the 12th international conference on Parallel Problem Solving from Nature - Volume Part II
Benchmarking CHC on a new application: the software project scheduling problem
PPSN'12 Proceedings of the 12th international conference on Parallel Problem Solving from Nature - Volume Part II
Swarm optimisation algorithms applied to large balanced communication networks
Journal of Network and Computer Applications
A Hybrid Genetic Algorithm for the Bottleneck Traveling Salesman Problem
ACM Transactions on Embedded Computing Systems (TECS) - Special Issue on Modeling and Verification of Discrete Event Systems
Clustering-Based multi-objective immune optimization evolutionary algorithm
ICARIS'12 Proceedings of the 11th international conference on Artificial Immune Systems
Generating diverse ethnic groups with genetic algorithms
Proceedings of the 18th ACM symposium on Virtual reality software and technology
FIDs classifier for artificial intelligence and its application
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
Flower pollination algorithm for global optimization
UCNC'12 Proceedings of the 11th international conference on Unconventional Computation and Natural Computation
Facial expression recognition using game theory
ANNPR'12 Proceedings of the 5th INNS IAPR TC 3 GIRPR conference on Artificial Neural Networks in Pattern Recognition
Enhancing learning capabilities by XCS with best action mapping
PPSN'12 Proceedings of the 12th international conference on Parallel Problem Solving from Nature - Volume Part I
Advances in evolutionary multi-objective optimization
SSBSE'12 Proceedings of the 4th international conference on Search Based Software Engineering
Decision tree selection in an industrial machine fault diagnostics
MEDI'12 Proceedings of the 2nd international conference on Model and Data Engineering
CMSB'12 Proceedings of the 10th international conference on Computational Methods in Systems Biology
CMSB'12 Proceedings of the 10th international conference on Computational Methods in Systems Biology
Safety-Focused deployment optimization in open integrated architectures
SAFECOMP'12 Proceedings of the 31st international conference on Computer Safety, Reliability, and Security
Automatic Identification and Classification of Noun Argument Structures in Biomedical Literature
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
International Journal of Communication Systems
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Pattern Recognition Letters
Genetic algorithms in feature and instance selection
Knowledge-Based Systems
Mining consensus preference graphs from users' ranking data
Decision Support Systems
Comparing particle swarm optimization variants for a cognitive radio network
Applied Soft Computing
Optimisation for job scheduling at automated container terminals using genetic algorithm
Computers and Industrial Engineering
Maximal profit service task partition and distribution in computer grid
Computers and Industrial Engineering
Maintainability defects detection and correction: a multi-objective approach
Automated Software Engineering
Using Genetic Algorithms for Tasking Teams of Raven UAVs
Journal of Intelligent and Robotic Systems
End-to-end reliability of service oriented applications
Information Systems Frontiers
Structural and Multidisciplinary Optimization
Structural and Multidisciplinary Optimization
Evolving story and character generation for role-playing games
Proceedings of the Workshop at SIGGRAPH Asia
Modeling rainfall-runoff process using soft computing techniques
Computers & Geosciences
A memetic approach for the knowledge extraction
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part I
Energy-Efficient virtual machine placement in data centers by genetic algorithm
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part III
Harmony search with multi-parent crossover for solving IEEE-CEC2011 competition problems
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part IV
Artificial neural network classification models for stress in reading
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part IV
Transactions on Computational Collective Intelligence VIII
The global financial markets: an ultra-large-scale systems perspective
Proceedings of the 17th Monterey conference on Large-Scale Complex IT Systems: development, operation and management
Image retrieval by content based on a visual attention model and genetic algorithms
SBIA'12 Proceedings of the 21st Brazilian conference on Advances in Artificial Intelligence
Multibiometric system using distance regularized level set method and particle swarm optimization
ICCVG'12 Proceedings of the 2012 international conference on Computer Vision and Graphics
A genetic algorithm for scale-based translocon simulation
PRIB'12 Proceedings of the 7th IAPR international conference on Pattern Recognition in Bioinformatics
On the optimization of multiclass support vector machines dedicated to speech recognition
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part II
Combining gradient-based optimization with stochastic search
Proceedings of the Winter Simulation Conference
Proceedings of the Winter Simulation Conference
Biological plausibility in optimisation: an ecosystemic view
International Journal of Bio-Inspired Computation
Designing of integrated system-dynamics models for an oil company
International Journal of Computer Applications in Technology
Multi-objective genetic algorithms for flights amalgamation problem
International Journal of Computer Applications in Technology
Computers and Operations Research
Adaptation to non-native speech using evolutionary-based discriminative linear transforms
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
Structural optimization of composite structures with limited number of element properties
Structural and Multidisciplinary Optimization
Discrete optimization via approximate annealing adaptive search with stochastic averaging
Proceedings of the Winter Simulation Conference
Learning classifier system with average reward reinforcement learning
Knowledge-Based Systems
Modeling and solving technical product configuration problems
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Application of Machine Learning Techniques to Predict Software Reliability
International Journal of Applied Evolutionary Computation
A Comparative Study of Metaheuristic Methods for Transmission Network Expansion Planning
International Journal of Applied Evolutionary Computation
Differential Operators Embedded Artificial Bee Colony Algorithm
International Journal of Applied Evolutionary Computation
Multi-Objective Genetic Algorithm for Robust Clustering with Unknown Number of Clusters
International Journal of Applied Evolutionary Computation
Periodic Mutation Operator for Nurse Scheduling by Using Cooperative GA
International Journal of Applied Evolutionary Computation
Cascaded Evolutionary Estimator for Robot Localization
International Journal of Applied Evolutionary Computation
Collaboration and Competition Process: A Multi-Teams and Genetic Algorithm Hybrid Approach
International Journal of Artificial Life Research
Load Balancing for the Dynamic Distributed Double Guided Genetic Algorithm for MAX-CSPs
International Journal of Artificial Life Research
Simulation metamodeling in continuous time using dynamic Bayesian networks
Proceedings of the Winter Simulation Conference
Proceedings of the Winter Simulation Conference
Proceedings of the Winter Simulation Conference
Solving "antenna array thinning problem" using genetic algorithm
Applied Computational Intelligence and Soft Computing
International Journal of Applied Metaheuristic Computing
Discrete Artificial Bee Colony Optimization Algorithm for Financial Classification Problems
International Journal of Applied Metaheuristic Computing
International Journal of Applied Metaheuristic Computing
Dynamic Assignment of Crew Reserve in Airlines
International Journal of Applied Metaheuristic Computing
International Journal of Applied Metaheuristic Computing
Estimation of distribution algorithms based on two copula selection methods
International Journal of Computing Science and Mathematics
ADNTIIC'11 Proceedings of the Second international conference on Advances in New Technologies, Interactive Interfaces and Communicability
Expert Systems with Applications: An International Journal
AI'12 Proceedings of the 25th Australasian joint conference on Advances in Artificial Intelligence
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
XCS with adaptive action mapping
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
DEAL: a direction-guided evolutionary algorithm
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
Developing attention focus metrics for autonomous hypothesis generation in data mining
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
Improving gender recognition using genetic algorithms
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
A framework for the design and synthesis of coordinated social systems
SocInfo'12 Proceedings of the 4th international conference on Social Informatics
A Computational Grid Scheduling Model To Maximize Reliability Using Modified GA
International Journal of Grid and High Performance Computing
Observations on Effect of IPC in GA Based Scheduling on Computational Grid
International Journal of Grid and High Performance Computing
Volterra kernel based face recognition using artificial bee colonyoptimization
Engineering Applications of Artificial Intelligence
Enhancing GPU parallelism in nature-inspired algorithms
The Journal of Supercomputing
Network infrastructure design with a multilevel algorithm
Expert Systems with Applications: An International Journal
Modeling gender evolution and gap in science and technology using ecological dynamics
Expert Systems with Applications: An International Journal
Improving differential evolution through a unified approach
Journal of Global Optimization
Protein structure prediction using distributed parallel particle swarm optimization
Natural Computing: an international journal
Fuzzy control and co-simulation for semi-active suspension based on improved genetic algorithm
International Journal of Innovative Computing and Applications
An intelligent routing approach using genetic algorithms for quality graded network
International Journal of Intelligent Systems Technologies and Applications
An internet-scale idea generation system
ACM Transactions on Interactive Intelligent Systems (TiiS) - Special section on internet-scale human problem solving and regular papers
Investigation of mutation schemes in real-parameter genetic algorithms
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Multi-sensor satellite image analysis using niche genetic algorithm for flood assessment
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
An analysis of genetic algorithm based anycast routing in delay and disruption tolerant networks
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Modified onlooker phase in artificial bee colony algorithm
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Circular antenna array design using novel perturbation based artificial bee colony algorithm
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Scalable fuzzy genetic classifier based on fitness approximation
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Multi objective integrated layout design problem
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Dynamic network traffic data classification for intrusion detection using genetic algorithm
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
A network theoretic analysis of evolutionary algorithms
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
A comment on bio-inspired optimisation via GPU architecture: the genetic algorithm workload
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
SEMCCO'12 Proceedings of the Third international conference on Swarm, Evolutionary, and Memetic Computing
Interactive random graph generation with evolutionary algorithms
GD'12 Proceedings of the 20th international conference on Graph Drawing
Hybrid genetic algorithms for stress recognition in reading
EvoBIO'13 Proceedings of the 11th European conference on Evolutionary Computation, Machine Learning and Data Mining in Bioinformatics
Self-adaptive differential evolution incorporating a heuristic mixing of operators
Computational Optimization and Applications
A mixed-discrete Particle Swarm Optimization algorithm with explicit diversity-preservation
Structural and Multidisciplinary Optimization
Reverse Engineering Financial Markets with Majority and Minority Games Using Genetic Algorithms
Computational Economics
Multimedia Tools and Applications
Engineering Applications of Artificial Intelligence
Joint location and dispatching decisions for Emergency Medical Services
Computers and Industrial Engineering
High contrast color sets under multiple illuminants
CCIW'13 Proceedings of the 4th international conference on Computational Color Imaging
EuroGP'13 Proceedings of the 16th European conference on Genetic Programming
A new crossover for solving constraint satisfaction problems
EvoCOP'13 Proceedings of the 13th European conference on Evolutionary Computation in Combinatorial Optimization
Evolving non-intrusive load monitoring
EvoApplications'13 Proceedings of the 16th European conference on Applications of Evolutionary Computation
Validation of a Model for Coping and Mood for Virtual Agents
WI-IAT '12 Proceedings of the The 2012 IEEE/WIC/ACM International Joint Conferences on Web Intelligence and Intelligent Agent Technology - Volume 02
Task-oriented navigation algorithms for an outdoor environment with colored borders and obstacles
Intelligent Service Robotics
A multiparametric strategy for the two step optimization of structural assemblies
Structural and Multidisciplinary Optimization
Evolutionary functional black-box testing in an industrial setting
Software Quality Control
Journal of Intelligent Manufacturing
Optimising anti-spam filters with evolutionary algorithms
Expert Systems with Applications: An International Journal
A model-independent Particle Swarm Optimisation software for model calibration
Environmental Modelling & Software
A hybrid analytical-heuristic method for calibrating land-use change models
Environmental Modelling & Software
Design and Evaluation of Soft Keyboards for Brahmic Scripts
ACM Transactions on Asian Language Information Processing (TALIP)
Expert Systems with Applications: An International Journal
Empirical study of the Bee Colony Optimization (BCO) algorithm
Expert Systems with Applications: An International Journal
A hybrid particle swarm with velocity mutation for constraint optimization problems
Proceedings of the 15th annual conference on Genetic and evolutionary computation
GESwarm: grammatical evolution for the automatic synthesis of collective behaviors in swarm robotics
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Critical interplay between density-dependent predation and evolution of the selfish herd
Proceedings of the 15th annual conference on Genetic and evolutionary computation
mDBN: motif based learning of gene regulatory networks using dynamic bayesian networks
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Evolving structures for electronic dance music
Proceedings of the 15th annual conference on Genetic and evolutionary computation
On finding well-spread pareto optimal solutions by preference-inspired co-evolutionary algorithm
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Hyperplane initialized local search for MAXSAT
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Proceedings of the 15th annual conference on Genetic and evolutionary computation
An efficient distance metric for linear genetic programming
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Selection strategy for XCS with adaptive action mapping
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Cluster energy optimizing genetic algorithm
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Fast and effective multi-objective optimisation of wind turbine placement
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Entropy-based adaptive range parameter control for evolutionary algorithms
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Improved runtime analysis of the simple genetic algorithm
Proceedings of the 15th annual conference on Genetic and evolutionary computation
Heuristic search-based approach for automated test data generation: a survey
International Journal of Bio-Inspired Computation
Static and adaptive mutation techniques for genetic algorithm: a systematic comparative analysis
International Journal of Computational Science and Engineering
International Journal of Innovative Computing and Applications
On local search for bi-objective knapsack problems
Evolutionary Computation
A hierarchical clusterer ensemble method based on boosting theory
Knowledge-Based Systems
A review of lumped-element models of voiced speech
Speech Communication
Representations for evolutionary algorithms
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
PrivGene: differentially private model fitting using genetic algorithms
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Support for high performance using heterogeneous embedded systems: a Ph.D. research proposal
Proceedings of the 18th international doctoral symposium on Components and architecture
Dimensioning the virtual cluster for parallel scientific workflows in clouds
Proceedings of the 4th ACM workshop on Scientific cloud computing
Balanced artificial bee colony algorithm
International Journal of Artificial Intelligence and Soft Computing
Unsupervised feature selection using rough set and teaching learning-based optimisation
International Journal of Artificial Intelligence and Soft Computing
Segmentation of histological images using a metaheuristic-based level set approach
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
Block matching algorithm for motion estimation based on Artificial Bee Colony (ABC)
Applied Soft Computing
Quality time-of-flight range imaging for feature-based registration using bacterial foraging
Applied Soft Computing
A multi-objective micro genetic ELM algorithm
Neurocomputing
A new optimization method: Dolphin echolocation
Advances in Engineering Software
Expert Systems with Applications: An International Journal
A novel adaptive fuzzy predictive control for hybrid systems with mixed inputs
Engineering Applications of Artificial Intelligence
A hybrid meta-heuristic for multi-objective vehicle routing problems with time windows
Computers and Industrial Engineering
Computers and Industrial Engineering
On the performance comparison of multi-objective evolutionary UAV path planners
Information Sciences: an International Journal
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Proceedings of the 28th Annual ACM Symposium on Applied Computing
A novel meta-heuristic based on soccer concepts to solve routing problems
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
Adapting evolutionary algorithms to the concurrent functional language Erlang
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
Robotic behavior implementation using two different differential evolution variants
MICAI'12 Proceedings of the 11th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
Identification of risk factors for TRALI using a hybrid algorithm
MICAI'12 Proceedings of the 11th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
MICAI'12 Proceedings of the 11th Mexican international conference on Advances in Computational Intelligence - Volume Part II
Runtime analysis of evolutionary algorithms: basic introduction
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
Benchmarking projection-based real coded genetic algorithm on BBOB-2013 noiseless function testbed
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
An evolutionary algorithm derived from Charles Sanders Peirce's theory of universal evolution
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
A Morphological-Rank-Linear evolutionary method for stock market prediction
Information Sciences: an International Journal
A dynamic programming approach to missing data estimation using neural networks
Information Sciences: an International Journal
Hybrid evolutionary computation methods for quay crane scheduling problems
Computers and Operations Research
Community Detection in Complex Networks: Multi-objective Enhanced Firefly Algorithm
Knowledge-Based Systems
Stacked ensemble coupled with feature selection for biomedical entity extraction
Knowledge-Based Systems
Orthogonal exploration of the search space in evolutionary test case generation
Proceedings of the 2013 International Symposium on Software Testing and Analysis
Advances in Artificial Intelligence
Why people play: artificial lives acquiring play instinct to stabilize productivity
Computational Intelligence and Neuroscience - Special issue on Computational Intelligence in Biomedical Science and Engineering
A novel mating approach for genetic algorithms
Evolutionary Computation
Borg: An auto-adaptive many-objective evolutionary computing framework
Evolutionary Computation
Evolutionary Computation
Early experiments with neural diversity machines
Neurocomputing
An examination of evolved behavior in two reinforcement learning systems
Decision Support Systems
Proceedings of the 2013 International Conference on Software Engineering
Missing data handling for meter data management system
Proceedings of the fourth international conference on Future energy systems
State-of-the-art review on relevance of genetic algorithm to internet web search
Applied Computational Intelligence and Soft Computing
Personalized recommendation via cross-domain triadic factorization
Proceedings of the 22nd international conference on World Wide Web
Polyhedral model based mapping optimization of loop nests for CGRAs
Proceedings of the 50th Annual Design Automation Conference
Application of nontraditional optimization techniques for airfoil shape optimization
Modelling and Simulation in Engineering
Evolutionary optimization of electronic circuitry cooling using nanofluid
Modelling and Simulation in Engineering
Computers and Electronics in Agriculture
Genetic algorithms as a useful tool for trabecular and cortical bone segmentation
Computer Methods and Programs in Biomedicine
Evolving 3d morphology and behavior by competition
Artificial Life
Exploring parallelization for medium access schemes on many-core software defined radio architecture
Proceedings of the second workshop on Software radio implementation forum
Improving trace accuracy through data-driven configuration and composition of tracing features
Proceedings of the 2013 9th Joint Meeting on Foundations of Software Engineering
RNA Secondary Structure Prediction Using Soft Computing
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
An Algorithmic Game-Theory Approach for Coarse-Grain Prediction of RNA 3D Structure
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Measuring and improving the robustness of automotive smart power microelectronics
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Hybrid fuzzy-genetic system for optimising cabled-truss structures
Advances in Engineering Software
International Journal of High Performance Computing Applications
International Journal of Grid and Utility Computing
Balancing font sizes for flexibility in automated document layout
Proceedings of the 2013 ACM symposium on Document engineering
Advances in Engineering Software
Improved shuffled frog leaping algorithm for continuous optimisation adapted SEVO toolbox
International Journal of Advanced Intelligence Paradigms
Application of data mining techniques for detecting asymptomatic carotid artery stenosis
Computers and Electrical Engineering
The dynamic simulation of organic farming development scenarios - A case study in Slovenia
Computers and Electronics in Agriculture
Wireless Personal Communications: An International Journal
Study of Sensitive Parameters of PSO Application to Clustering of Texts
International Journal of Applied Evolutionary Computation
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
IGA-based point cloud fitting using B-spline surfaces for reverse engineering
Information Sciences: an International Journal
Expert Systems with Applications: An International Journal
Title Natural computing: A problem solving paradigm with granular information processing
Applied Soft Computing
A real-integer-discrete-coded differential evolution
Applied Soft Computing
A hybrid metaheuristic approach for the capacitated p-median problem
Applied Soft Computing
Power law-based local search in differential evolution
International Journal of Computational Intelligence Studies
Hybrid strategy of multi-objective differential evolution H-MODE for multi-objective optimisation
International Journal of Computational Intelligence Studies
International Journal of Computational Intelligence Studies
Block-matching algorithm based on harmony search optimization for motion estimation
Applied Intelligence
Cell-graph coloring for cancerous tissue modelling and classification
Multimedia Tools and Applications
Information Sciences: an International Journal
Information Sciences: an International Journal
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
On the taxonomy of optimization problems under estimation of distribution algorithms
Evolutionary Computation
Optimising the semantic web service composition process using bio-inspired methods
International Journal of Bio-Inspired Computation
Mathematical methods to quantify and characterise the primary elements of trophic systems
International Journal of Computer Applications in Technology
Journal of Intelligent Manufacturing
Journal of Intelligent Manufacturing
Journal of Intelligent Manufacturing
Shape optimization of shear panel damper for improving the deformation ability under cyclic loading
Structural and Multidisciplinary Optimization
Parameter-less algorithm for evolutionary-based optimization
Computational Optimization and Applications
Improving multiple sequence alignment biological accuracy through genetic algorithms
The Journal of Supercomputing
Simultaneous optimization and uncertainty quantification
Journal of Computational Methods in Sciences and Engineering - Special issue on Advances in Simulation-Driven Optimization and Modeling
Task scheduling and motion planning for an industrial manipulator
Robotics and Computer-Integrated Manufacturing
Advances in Engineering Software
Adaptive system for dam behavior modeling based on linear regression and genetic algorithms
Advances in Engineering Software
Use of genetic algorithm for cohesive summary extraction to assist reading difficulties
Applied Computational Intelligence and Soft Computing
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
EnergIT: A Methodology for the Incremental Green Design of Data Centers
International Journal of Green Computing
Searching for model migration strategies
Proceedings of the 6th International Workshop on Models and Evolution
PACT '13 Proceedings of the 22nd international conference on Parallel architectures and compilation techniques
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advances in computational intelligence - Volume Part I
Alternative OVA proposals for cooperative competitive RBFN design in classification tasks
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advances in computational intelligence - Volume Part I
Topological effects on the performance of island model of parallel genetic algorithm
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advences in computational intelligence - Volume Part II
Designing and evolving an unreal Tournament™ 2004 expert bot
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advences in computational intelligence - Volume Part II
Evolving the strategies of agents for the ANTS game
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advences in computational intelligence - Volume Part II
Using genetic programming to detect fraud in electronic transactions
Proceedings of the 19th Brazilian symposium on Multimedia and the web
Computer Vision and Image Understanding
Computers and Industrial Engineering
Environmental Modelling & Software
Detection of sparse targets with structurally perturbed echo dictionaries
Digital Signal Processing
Reactive scheduling in a job shop where jobs arrive over time
Computers and Industrial Engineering
A hybrid attractive and repulsive particle swarm optimization based on gradient search
ICIC'13 Proceedings of the 9th international conference on Intelligent Computing Theories and Technology
Metaheuristic entry points for harnessing human computation in mainstream games
OCSC'13 Proceedings of the 5th international conference on Online Communities and Social Computing
International Journal of Information Systems and Social Change
International Journal of Wireless and Mobile Computing
Software re-engineering using imperialist competitive algorithm
ACM SIGSOFT Software Engineering Notes
GBOM-oriented management of production disruption risk and optimization of supply chain construction
Expert Systems with Applications: An International Journal
Information Sciences: an International Journal
Discovering gene association networks by multi-objective evolutionary quantitative association rules
Journal of Computer and System Sciences
GPSO versus GA in facial emotion detection
International Journal of Artificial Intelligence and Soft Computing
Genetic algorithm solution for partial digest problem
International Journal of Bioinformatics Research and Applications
Novel hybrid genetic algorithm for progressive multiple sequence alignment
International Journal of Bioinformatics Research and Applications
International Journal of Data Mining and Bioinformatics
Modeling stress recognition in typical virtual environments
Proceedings of the 7th International Conference on Pervasive Computing Technologies for Healthcare
Generation of neural networks using a genetic algorithm approach
International Journal of Bio-Inspired Computation
International Journal of Bio-Inspired Computation
International Journal of Systems Biology and Biomedical Technologies
An approach for analyzing the reliability of industrial systems using soft-computing based technique
Expert Systems with Applications: An International Journal
Computers and Operations Research
Expert Systems with Applications: An International Journal
Genotype-phenotype heuristic approaches for a cutting stock problem with circular patterns
Engineering Applications of Artificial Intelligence
Engineering Applications of Artificial Intelligence
A parallel hybrid optimization algorithm for fitting interatomic potentials
Applied Soft Computing
A block-based evolutionary algorithm for flow-shop scheduling problem
Applied Soft Computing
Computer Methods and Programs in Biomedicine
Insertion and promotion for tree-based PseudoLRU last-level caches
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture
Video-based personalized traffic learning
Graphical Models
Feature selection filter for classification of power system operating states
Computers & Mathematics with Applications
A hybrid metaheuristic for the cyclic antibandwidth problem
Knowledge-Based Systems
A GA-based feature selection approach with an application to handwritten character recognition
Pattern Recognition Letters
Transactions on Computational Collective Intelligence IX
Transactions on Computational Collective Intelligence IX
Proceedings of the 2013 Summer Computer Simulation Conference
An efficient prediction for heavy rain from big weather data using genetic algorithm
Proceedings of the 8th International Conference on Ubiquitous Information Management and Communication
Bio-Interactive Healthcare Service System Using Lifelog Based Context Computing
Wireless Personal Communications: An International Journal
Mesoscopic analysis of networks with genetic algorithms
World Wide Web
Security Driven Scheduling Model for Computational Grid Using NSGA-II
Journal of Grid Computing
What you like in design use to correct bad-smells
Software Quality Control
Multi objective outbound logistics network design for a manufacturing supply chain
Journal of Intelligent Manufacturing
A new genetic algorithm for lot-streaming flow shop scheduling with limited capacity buffers
Journal of Intelligent Manufacturing
Economic and environmental assessment of irrigation water policies: A bioeconomic simulation study
Environmental Modelling & Software
Journal of Systems Architecture: the EUROMICRO Journal
Applied Soft Computing
Advances in Engineering Software
Advances in Engineering Software
A fuzzy c-means based hybrid evolutionary approach to the clustering of supply chain
Computers and Industrial Engineering
MODM: multi-objective diffusion model for dynamic social networks using evolutionary algorithm
The Journal of Supercomputing
The Journal of Supercomputing
Generative methods and the design process: A design tool for conceptual settlement planning
Applied Soft Computing
External fixator configurations in tibia fractures: 1D optimization and 3D analysis comparison
Computer Methods and Programs in Biomedicine
Multi-objective evolutionary design of robust controllers on the grid
Engineering Applications of Artificial Intelligence
A new genetic algorithm for solving optimization problems
Engineering Applications of Artificial Intelligence
Review article: Computational intelligence techniques in bioinformatics
Computational Biology and Chemistry
Support vector machine algorithms in the search of KIR gene associations with disease
Computers in Biology and Medicine
General framework for localised multi-objective evolutionary algorithms
Information Sciences: an International Journal
Transgenic: An evolutionary algorithm operator
Neurocomputing
Cluster based dynamic area-array I/O planning for flip chip technology
Microelectronic Engineering
Diversity oriented test data generation using metaheuristic search techniques
Information Sciences: an International Journal
Software quality assessment using a multi-strategy classifier
Information Sciences: an International Journal
Novel feature selection methods to financial distress prediction
Expert Systems with Applications: An International Journal
Generalized quadratic multiple knapsack problem and two solution approaches
Computers and Operations Research
WSN in cyber physical systems: Enhanced energy management routing approach using software agents
Future Generation Computer Systems
Journal of Network and Computer Applications
Knowledge discovery using genetic algorithm for maritime situational awareness
Expert Systems with Applications: An International Journal
Expert Systems with Applications: An International Journal
Kullback-Leibler divergence-based global localization for mobile robots
Robotics and Autonomous Systems
A feature subset selection algorithm automatic recommendation method
Journal of Artificial Intelligence Research
Moving object detection using Markov Random Field and Distributed Differential Evolution
Applied Soft Computing
International Journal of Computing Science and Mathematics
Feature weighted unsupervised classification algorithm and adaptation for software cost estimation
International Journal of Computational Intelligence Studies
A hybrid genetic approach for multi-objective and multi-platform large volume surveillance problem
International Journal of Metaheuristics
International Journal of Metaheuristics
A random forest classifier for lymph diseases
Computer Methods and Programs in Biomedicine
White box radial basis function classifiers with component selection for clinical prediction models
Artificial Intelligence in Medicine
Neurocomputing
A survey on feature selection methods
Computers and Electrical Engineering
Dynamic security consideration in multiobjective electricity markets
Applied Soft Computing
An improved firefly algorithm for solving dynamic multidimensional knapsack problems
Expert Systems with Applications: An International Journal
A multi-objective genetic optimization for spectrum sensing in cognitive radio
Expert Systems with Applications: An International Journal
Multi-level clustering support vector machine trees for improved protein local structure prediction
International Journal of Data Mining and Bioinformatics
Wireless Personal Communications: An International Journal
Stacking sequence optimization with genetic algorithm using a two-level approximation
Structural and Multidisciplinary Optimization
Journal of Global Optimization
Differential evolution with multi-constraint consensus methods for constrained optimization
Journal of Global Optimization
Optimization of material parameter identification in biomechanics
Structural and Multidisciplinary Optimization
Supporting the design process with hypergraph genetic operators
Advanced Engineering Informatics
BeeIP - A Swarm Intelligence based routing for wireless ad hoc networks
Information Sciences: an International Journal
Towards objective measures of algorithm performance across instance space
Computers and Operations Research
Review: Structural design employing a sequential approximation optimization approach
Computers and Structures
Structured population genetic algorithms: a literature survey
Artificial Intelligence Review
Evolving structural design solutions using an implicit redundant Genetic Algorithm
Structural and Multidisciplinary Optimization
A quantum genetic algorithm with quantum crossover and mutation operations
Quantum Information Processing
Rules for trajectory updating in decision based design
Structural and Multidisciplinary Optimization
Design optimization of a laser printer cleaning blade for minimizing permanent set
Structural and Multidisciplinary Optimization
Genetic algorithm for effective open port selection for a web filter
Personal and Ubiquitous Computing
Machine Vision and Applications
Analysing mutation schemes for real-parameter genetic algorithms
International Journal of Artificial Intelligence and Soft Computing
A study of search algorithms' optimization speed
Journal of Combinatorial Optimization
Journal of Intelligent Manufacturing
The Visual Computer: International Journal of Computer Graphics
Second-order methods for the optimum synthesis of multibody systems
Structural and Multidisciplinary Optimization
The Endocrine Control Evolutionary Algorithm: an extensible technique for optimization
Natural Computing: an international journal
Dynamic stopping criteria for search-based test data generation for path testing
Information and Software Technology
Large margin principle in hyperrectangle learning
Neurocomputing
Computers and Industrial Engineering
International Journal of Artificial Life Research
Optimum design of grillage structures to LRFD-AISC with teaching-learning based optimization
Structural and Multidisciplinary Optimization
Advances in Truck Scheduling at a Cross Dock Facility
International Journal of Information Systems and Supply Chain Management
Computational Optimization and Applications
ORTHRUS: a lightweighted block-level cloud storage system
Cluster Computing
Solving symbolic regression problems with uniform design-aided gene expression programming
The Journal of Supercomputing
OptiPlace: Designing Cloud Management with Flexible Power Models through Constraint Programing
UCC '13 Proceedings of the 2013 IEEE/ACM 6th International Conference on Utility and Cloud Computing
An improved diversity-guided particle swarm optimisation for numerical optimisation
International Journal of Computing Science and Mathematics
Future Generation Computer Systems
Advances in Engineering Software
An adaptive robust fuzzy beamformer for steering vector mismatch and reducing interference and noise
Information Sciences: an International Journal
A hierarchical parallel genetic approach for the graph coloring problem
Applied Intelligence
Constraint handling improvements for multiobjective genetic algorithms
Structural and Multidisciplinary Optimization
Selection strategies in a structural design support system
Structural and Multidisciplinary Optimization
SERVICE COST AND UTILIZATION RATE OPTIMIZATION IN SERVICE SYSTEMS
Journal of Integrated Design & Process Science
Journal of Computer Security
A parallel Bees Algorithm implementation on GPU
Journal of Systems Architecture: the EUROMICRO Journal
Imbalanced evolving self-organizing learning
Neurocomputing
QoS routing in ad-hoc networks using GA and multi-objective optimization
Mobile Information Systems - Emerging Wireless and Mobile Technologies
Structural application of a shape optimization method based on a genetic algorithm
Structural and Multidisciplinary Optimization
Multidisciplinary design optimization of a compact highly loaded fan
Structural and Multidisciplinary Optimization
Structural optimization of forest machines with hybridized nonsmooth and global methods
Structural and Multidisciplinary Optimization
Variance as a Stopping Criterion for Genetic Algorithms with Elitist Model
Fundamenta Informaticae
Comparing Problem Solving Strategies for NP-hard Optimization Problems
Fundamenta Informaticae - Cognitive Informatics and Computational Intelligence: Theory and Applications
International Journal of Knowledge-based and Intelligent Engineering Systems
Sensitivity analysis of fuzzy-genetic approach applied to cabled-truss design
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Impact of static and adaptive mutation techniques on the performance of Genetic Algorithm
International Journal of Hybrid Intelligent Systems
International Journal of Hybrid Intelligent Systems
International Journal of Hybrid Intelligent Systems
International Journal of Hybrid Intelligent Systems
International Journal of Hybrid Intelligent Systems
A computational intelligence optimization algorithm: Cloud drops algorithm
Integrated Computer-Aided Engineering
A new utility-emphasized analysis for stock trading rules
Intelligent Data Analysis
A parameter-free barebones particle swarm algorithm for unsupervised pattern classification
International Journal of Hybrid Intelligent Systems
An integration of fuzzy inference systems and Genetic Algorithms for Wireless Sensor Networks
International Journal of Hybrid Intelligent Systems
A combined approach to tackle imbalanced data sets
International Journal of Hybrid Intelligent Systems
The role of decision-making support systems in IT service management processes
Intelligent Decision Technologies - IT Service Management and Engineering: An Intelligent Decision-Making Support Systems Approach
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Hybrid approaches for approximate reasoning
Multi-objective image segmentation with an interactive evolutionary computation approach
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Computational intelligence models for image processing and information reasoning
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Recent Advances in Soft Computing: Theories and Applications
A Modified micro Genetic Algorithm for undertaking Multi-Objective Optimization Problems
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Recent Advances in Soft Computing: Theories and Applications
Fuzzy sliding mode autopilot design for nonminimum phase and nonlinear UAV
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Recent Advances in Soft Computing: Theories and Applications
Optimization of electrospinning process using intelligent control systems
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology - Recent Advances in Soft Computing: Theories and Applications
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Analysis of gas metal arc welding process using GA tuned fuzzy rule based system
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
An innovation approach for achieving cost optimization in supply chain management
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Solving the pole balancing problem by means of assembler encoding
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Fuzzy modelling of knee joint with genetic optimization
Applied Bionics and Biomechanics - Assistive and Rehabilitation Robotics
Cognitive optimization in assistive living system development
Applied Bionics and Biomechanics - Assistive and Rehabilitation Robotics II
Genetic algorithms based approach for designing spring brake orthosis --Part I: Spring parameters
Applied Bionics and Biomechanics
Computational Optimization and Applications
Achieving high robustness and performance in QoS-aware route planning for IPTV networks
Information Sciences: an International Journal
Online parameter tuning for object tracking algorithms
Image and Vision Computing
Evolutionary visual exploration: evaluation with expert users
EuroVis '13 Proceedings of the 15th Eurographics Conference on Visualization
Crossover method for interactive genetic algorithms to estimate multimodal preferences
Applied Computational Intelligence and Soft Computing
Subspace clustering of high-dimensional data: an evolutionary approach
Applied Computational Intelligence and Soft Computing
Hi-index | 0.49 |
From the Publisher:This book brings together - in an informal and tutorial fashion - the computer techniques, mathematical tools, and research results that will enable both students and practitioners to apply genetic algorithms to problems in many fields. Major concepts are illustrated with running examples, and major algorithms are illustrated by Pascal computer programs. No prior knowledge of GAs or genetics is assumed, and only a minimum of computer programming and mathematics background is required.