Protected Shared Variables in VHDL: IEEE Standard 1076a

  • Authors:
  • Peter J. Ashenden;Philip A. Wilsey

  • Affiliations:
  • -;-

  • Venue:
  • IEEE Design & Test
  • Year:
  • 1999

Quantified Score

Hi-index 0.01

Visualization

Abstract

The VHDL standard currently allows concurrent access to variables shared between processes, but does not define any semantics for concurrency control. The IEEE 1076a Shared Variables Working Group has developed a form of monitors, called protected types, to provide mutually exclusive access to shared variables. This article identifies the problems that can arise from unprotected concurrent access to shared variables and reviews the idea of monitors, which forms the basis of the proposed language change. It describes protected types, gives some guidelines on using them for hardware modeling, and includes examples to illustrate their use.