Reevaluating Online Superpage Promotion with Hardware Support

  • Authors:
  • Zhen Fang;Lixin Zhang;John B. Carter;Wilson C. Hsieh;Sally A. McKee

  • Affiliations:
  • -;-;-;-;-

  • Venue:
  • HPCA '01 Proceedings of the 7th International Symposium on High-Performance Computer Architecture
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

Abstract: Typical translation lookaside buffers (TLBs)can map a far smaller region of memory than applicatio footprints demand, and the cost of handling TLB misses therefore limits the performance of a increasing number of applications. This bottleneck can be mitigated by the use of superpages, multiple adjacent virtual memory pages that can be mapped with a single TLB entry, that extend TLB reach without significantly increasing size or cost. We analyze hardware/software tradeoffs for dynamically creating superpages. This study extends previous work by using execution-driven simulation to compare creating superpages via copying with remapping pages within the memory controller, and by examining how the tradeoffs change when moving from a single-issue to a superscalar processor model. We find that remapping-based promotion outperforms copying-based promotion, often significantly. Copying-based promotion is slightly more effective on superscalar processors than on single-issue processors, and the relative performance of remapping-based promotion on the two platforms is application-dependent.