Call Graph Prefetching for Database Applications

  • Authors:
  • Murali Annavaram;Jignesh M. Patel;Edward S. Davidson

  • Affiliations:
  • -;-;-

  • Venue:
  • HPCA '01 Proceedings of the 7th International Symposium on High-Performance Computer Architecture
  • Year:
  • 2001

Quantified Score

Hi-index 0.01

Visualization

Abstract

Abstract: With the continuing technological trend of ever cheaper and larger memory,most data sets in database servers will soon be able to reside in main memory.In this configuation,the performance bottleneck is likely to be the gap between the processing speed of the CPU and the memory access latency.Previous work has shown that database applications have large instruction and data footprints and hence do not use processor caches effectively.In this paper,we propose Call Graph Prefetching (CGP),a hardware technique that analyzes the call graph of a database system and prefetches instructions from the function that is deemed likely to be called next.CGP capitalizes on the highly predictable function call sequences that are typical of database systems.We evaluate the performance of CGP on sets of Wisconsin and TPC-H queries,as well as on CPU-2000 benchmarks.For most CPU-2000 applications the number of I-cache misses were very few even without any prefetching,obviating the need fo CGP.Ou database experiments show that CGP educes the I-cache misses by 83% and can improve the performance of a database system by 30% over a baseline system that uses the OM tool to layout the code so as to improve I-cache performance.CGP also achieved 7% higher performance than OM with next-N-line prefetching on database applications.