CCC: Crossbar Connected Caches for Reducing Energy Consumption of On-Chip Multiprocessors

  • Authors:
  • Lin Li;N. Vijaykrishnan;Mahmut Kandemir;Mary Jane Irwin;Ismail Kadayif

  • Affiliations:
  • -;-;-;-;-

  • Venue:
  • DSD '03 Proceedings of the Euromicro Symposium on Digital Systems Design
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

With shrinking feature size of silicon fabricationtechnology, architects are putting more and more logicinto a single die. While one might opt to use thesetransistors for building complex single processor basedarchitectures, recent trends indicate a shift towards on-chipmultiprocessor systems since they are simpler toimplement and can provide better performance. Animportant problem in on-chip multiprocessors is energyconsumption. In particular, on-chip cache structures canbe major energy consumers. In this work, we studyenergy behavior of different cache architectures, andpropose a new architecture, where processors share asingle, banked cache using crossbar interconnects. Ourdetailed cycle-accurate simulations show that this cachearchitecture brings energy benefits ranging from 9% to26% (over an architecture where each processor has aprivate cache).