Thread coloring: a scheduler proposal from user to hardware threads

  • Authors:
  • Marisa Gil;Ruben Pinilla

  • Affiliations:
  • Technical University of Catalonia, Barcelona, Spain;Technical University of Catalonia, Barcelona, Spain

  • Venue:
  • ACM SIGOPS Operating Systems Review
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

The appearance of simultaneous multithreading (SMT) processors, offering instruction-level parallelism and increasing hardware resources utilization, permits the execution of various instructions streams at the same time. A particular case is the Intel SMT implementation (Hyper-Threading Technology) where a processor can execute two instructions streams independently. Due to hardware resources sharing in a Hyper-Threading processor, both instruction streams can contend for the same execution resources depending on the instruction execution units utilization.As other works in this area have demonstrated, we have observed that the system throughput can be improved when the execution resources used by each thread are contemplated in their scheduling. Starting from the evaluation results, we propose a Thread Coloring philosophy that designs a way to manage information about resources utilization (hardware and software resources) per each thread. This policy permits the scheduler to select threads that will perform better with less resource contention, besides including OS upper layers in the resource management. The proposed Thread Coloring scheme establishes the bases of processor and OS cooperation, sharing resources utilization information and providing a form of controlling logical processor thread assignment taking into account possible resource contention.