Building Blocks for Variational Bayesian Learning of Latent Variable Models
The Journal of Machine Learning Research
On one method of non-diagonal regularization in sparse Bayesian learning
Proceedings of the 24th international conference on Machine learning
Linear and nonlinear generative probabilistic class models for shape contours
Proceedings of the 24th international conference on Machine learning
A principled foundation for LCS
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
Variational and stochastic inference for Bayesian source separation
Digital Signal Processing
Knowledge discovery of multiple-topic document using parametric mixture model with dirichlet prior
Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining
Categorizing and differencing system behaviours
HotAC II Hot Topics in Autonomic Computing on Hot Topics in Autonomic Computing
Semantic-event based analysis and segmentation of wedding ceremony videos
Proceedings of the international workshop on Workshop on multimedia information retrieval
Bayesian methods for multimedia signal processing
Proceedings of the 15th international conference on Multimedia
Wiizards: 3D gesture recognition for game play input
Future Play '07 Proceedings of the 2007 conference on Future Play
Pruning RBF networks with QLP decomposition
NN'07 Proceedings of the 8th Conference on 8th WSEAS International Conference on Neural Networks - Volume 8
NN'07 Proceedings of the 8th Conference on 8th WSEAS International Conference on Neural Networks - Volume 8
Using RBF reduced by QLP decomposition for probability density estimation
ACOS'07 Proceedings of the 6th Conference on WSEAS International Conference on Applied Computer Science - Volume 6
Grasp recognition for uncalibrated data gloves: A machine learning approach
Presence: Teleoperators and Virtual Environments
New Routes from Minimal Approximation Error to Principal Components
Neural Processing Letters
Stacked dependency networks for layout document structuring
Proceedings of the 2008 ACM symposium on Applied computing
Learning indexed families of recursive languages from positive data: A survey
Theoretical Computer Science
Bayesian space conceptualization and place classification for semantic maps in mobile robotics
Robotics and Autonomous Systems
Bayes Machines for binary classification
Pattern Recognition Letters
Statistical pattern recognition in remote sensing
Pattern Recognition
Brownian Warps for Non-Rigid Registration
Journal of Mathematical Imaging and Vision
An Efficient Algorithm for Modelling Duration in Hidden Markov Models, with a Dramatic Application
Journal of Mathematical Imaging and Vision
Evaluation of Face Datasets as Tools for Assessing the Performance of Face Recognition Methods
International Journal of Computer Vision
Patch-based image classification through conditional random field model
Proceedings of the 3rd international conference on Mobile multimedia communications
Semantic image classification using statistical local spatial relations model
Multimedia Tools and Applications
Discriminating self from non-self with finite mixtures of multivariate Bernoulli distributions
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Autonomous geometric precision error estimation in low-level computer vision tasks
Proceedings of the 25th international conference on Machine learning
Online kernel selection for Bayesian reinforcement learning
Proceedings of the 25th international conference on Machine learning
Robust matching and recognition using context-dependent kernels
Proceedings of the 25th international conference on Machine learning
A least squares formulation for canonical correlation analysis
Proceedings of the 25th international conference on Machine learning
Selecting good expansion terms for pseudo-relevance feedback
Proceedings of the 31st annual international ACM SIGIR conference on Research and development in information retrieval
Multi-document summarization via sentence-level semantic analysis and symmetric matrix factorization
Proceedings of the 31st annual international ACM SIGIR conference on Research and development in information retrieval
Pattern Recognition
Local anomaly detection for mobile network monitoring
Information Sciences: an International Journal
Probabilistic relevance ranking for collaborative filtering
Information Retrieval
Cut-and-stitch: efficient parallel learning of linear dynamical systems on smps
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Joint latent topic models for text and citations
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Hypergraph spectral learning for multi-label classification
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Sketch-based tree modeling using Markov random field
ACM SIGGRAPH Asia 2008 papers
A Viewpoint Invariant, Sparsely Registered, Patch Based, Face Verifier
International Journal of Computer Vision
Accurate activity recognition in a home setting
UbiComp '08 Proceedings of the 10th international conference on Ubiquitous computing
Using wearable sensors and real time inference to understand human recall of routine activities
UbiComp '08 Proceedings of the 10th international conference on Ubiquitous computing
PCA and SVD with nonnegative loadings
Pattern Recognition
Principal Component Analysis for Large Scale Problems with Lots of Missing Values
ECML '07 Proceedings of the 18th European conference on Machine Learning
Principal Component Analysis for Sparse High-Dimensional Data
Neural Information Processing
Natural Conjugate Gradient in Variational Inference
Neural Information Processing
Prediction Horizons in Agent Models
Engineering Environment-Mediated Multi-Agent Systems
Bayesian Classifiers for Predicting the Outcome of Breast Cancer Preoperative Chemotherapy
ANNPR '08 Proceedings of the 3rd IAPR workshop on Artificial Neural Networks in Pattern Recognition
Robust Dynamic Human Activity Recognition Based on Relative Energy Allocation
DCOSS '08 Proceedings of the 4th IEEE international conference on Distributed Computing in Sensor Systems
Teaching Machine Learning to Design Students
Edutainment '08 Proceedings of the 3rd international conference on Technologies for E-Learning and Digital Entertainment
ICAISC '08 Proceedings of the 9th international conference on Artificial Intelligence and Soft Computing
ICAISC '08 Proceedings of the 9th international conference on Artificial Intelligence and Soft Computing
Clustering Organisms Using Metabolic Networks
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
An Empirical Study of Self/Non-self Discrimination in Binary Data with a Kernel Estimator
ICARIS '08 Proceedings of the 7th international conference on Artificial Immune Systems
A Sparse Regression Mixture Model for Clustering Time-Series
SETN '08 Proceedings of the 5th Hellenic conference on Artificial Intelligence: Theories, Models and Applications
Incremental Relevance Vector Machine with Kernel Learning
SETN '08 Proceedings of the 5th Hellenic conference on Artificial Intelligence: Theories, Models and Applications
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
Person Identification Based on Barefoot 3D Sole Shape
IWCF '08 Proceedings of the 2nd international workshop on Computational Forensics
Sparse Bayes Machines for Binary Classification
ICANN '08 Proceedings of the 18th international conference on Artificial Neural Networks, Part I
Unsupervised Bayesian Network Learning for Object Recognition in Image Sequences
ICANN '08 Proceedings of the 18th international conference on Artificial Neural Networks, Part I
Support Vector Machines for Visualization and Dimensionality Reduction
ICANN '08 Proceedings of the 18th international conference on Artificial Neural Networks, Part I
Building Localized Basis Function Networks Using Context Dependent Clustering
ICANN '08 Proceedings of the 18th international conference on Artificial Neural Networks, Part I
Clustering Via Local Regression
ECML PKDD '08 Proceedings of the European conference on Machine Learning and Knowledge Discovery in Databases - Part II
MR Brain Tissue Classification Using an Edge-Preserving Spatially Variant Bayesian Mixture Model
MICCAI '08 Proceedings of the 11th international conference on Medical Image Computing and Computer-Assisted Intervention - Part I
Prostate Cancer Probability Maps Based on Ultrasound RF Time Series and SVM Classifiers
MICCAI '08 Proceedings of the 11th international conference on Medical Image Computing and Computer-Assisted Intervention - Part I
A Slicing-Based Coherence Measure for Clusters of DTI Integral Curves
MICCAI '08 Proceedings of the 11th international conference on Medical Image Computing and Computer-Assisted Intervention - Part I
ICMI '08 Proceedings of the 10th international conference on Multimodal interfaces
Detection and localization of 3d audio-visual objects using unsupervised clustering
ICMI '08 Proceedings of the 10th international conference on Multimodal interfaces
A new feature selection method for Gaussian mixture clustering
Pattern Recognition
Online generation of scene descriptions in urban environments
Robotics and Autonomous Systems
Fuzzy modeling for data cleaning in sensor networks
International Journal of Hybrid Intelligent Systems - Recent Advances in Intelligent Paradigms Fusion and Their Applications
A face-house paradigm for architectural scene analysis
CSTST '08 Proceedings of the 5th international conference on Soft computing as transdisciplinary science and technology
Boredom, engagement and anxiety as indicators for adaptation to difficulty in games
Proceedings of the 12th international conference on Entertainment and media in the ubiquitous era
Classifying networked entities with modularity kernels
Proceedings of the 17th ACM conference on Information and knowledge management
MM '08 Proceedings of the 16th ACM international conference on Multimedia
Event recognition: viewing the world with a third eye
MM '08 Proceedings of the 16th ACM international conference on Multimedia
"Inside the bible": segmentation, annotation and retrieval for a new browsing experience
MIR '08 Proceedings of the 1st ACM international conference on Multimedia information retrieval
Efficient and Flexible Cluster-and-Search for CBIR
ACIVS '08 Proceedings of the 10th International Conference on Advanced Concepts for Intelligent Vision Systems
Computing information gain for spatial data support
Proceedings of the 16th ACM SIGSPATIAL international conference on Advances in geographic information systems
Active Learning for High Throughput Screening
DS '08 Proceedings of the 11th International Conference on Discovery Science
Data-driven curvature for real-time line drawing of dynamic scenes
ACM Transactions on Graphics (TOG)
GeoS: Geodesic Image Segmentation
ECCV '08 Proceedings of the 10th European Conference on Computer Vision: Part I
A Principled Foundation for LCS
Learning Classifier Systems
Entropy-Optimized Texture Models
MICCAI '08 Proceedings of the 11th International Conference on Medical Image Computing and Computer-Assisted Intervention, Part II
Robust Brain Registration Using Adaptive Probabilistic Atlas
MICCAI '08 Proceedings of the 11th International Conference on Medical Image Computing and Computer-Assisted Intervention, Part II
Unsupervised Text Learning Based on Context Mixture Model with Dirichlet Prior
Advanced Web and NetworkTechnologies, and Applications
Engineering of Software-Intensive Systems: State of the Art and Research Challenges
Software-Intensive Systems and New Computing Paradigms
Regression for machine translation evaluation at the sentence level
Machine Translation
Face Recognition Based on Normalization and the Phase Spectrum of the Local Part of an Image
ISVC '08 Proceedings of the 4th International Symposium on Advances in Visual Computing, Part II
Real Time Hand Based Robot Control Using 2D/3D Images
ISVC '08 Proceedings of the 4th International Symposium on Advances in Visual Computing, Part II
International Journal of Computer Vision
Robust Factorization Methods Using a Gaussian/Uniform Mixture Model
International Journal of Computer Vision
Prototype classification: Insights from machine learning
Neural Computation
Probabilistic Balance Monitoring for Bipedal Robots
International Journal of Robotics Research
ACM Transactions on Knowledge Discovery from Data (TKDD)
Convex sets as prototypes for classifying patterns
Engineering Applications of Artificial Intelligence
Technical data mining with evolutionary radial basis function classifiers
Applied Soft Computing
Easy humanoid motion generation from user demonstration using wearable interface
ACE '08 Proceedings of the 2008 International Conference on Advances in Computer Entertainment Technology
Estimation of Geographic Relevance for Web Objects Using Probabilistic Models
W2GIS '08 Proceedings of the 8th International Symposium on Web and Wireless Geographical Information Systems
Debellor: A Data Mining Platform with Stream Architecture
Transactions on Rough Sets IX
A Multimodal Constellation Model for Object Category Recognition
MMM '09 Proceedings of the 15th International Multimedia Modeling Conference on Advances in Multimedia Modeling
Web Page Rank Prediction with PCA and EM Clustering
WAW '09 Proceedings of the 6th International Workshop on Algorithms and Models for the Web-Graph
Minds and Machines
Using the patient's questionnaire data to screen laryngeal disorders
Computers in Biology and Medicine
Annotating images and image objects using a hierarchical dirichlet process model
Proceedings of the 9th International Workshop on Multimedia Data Mining: held in conjunction with the ACM SIGKDD 2008
Cancer informatics by prototype networks in mass spectrometry
Artificial Intelligence in Medicine
Game bot identification based on manifold learning
Proceedings of the 7th ACM SIGCOMM Workshop on Network and System Support for Games
Natural computing methods in bioinformatics: A survey
Information Fusion
Random Texture Defect Detection Using 1-D Hidden Markov Models Based on Local Binary Patterns
IEICE - Transactions on Information and Systems
Decentralized control of adaptive sampling in wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
Local Dimensionality Reduction for Non-Parametric Regression
Neural Processing Letters
Visio-lization: generating novel facial images
ACM SIGGRAPH 2009 papers
Bayesian Robust PCA for Incomplete Data
ICA '09 Proceedings of the 8th International Conference on Independent Component Analysis and Signal Separation
Cooperative Techniques Supporting Sensor-Based People-Centric Inferencing
Pervasive '08 Proceedings of the 6th International Conference on Pervasive Computing
Mean-Variance Analysis: A New Document Ranking Theory in Information Retrieval
ECIR '09 Proceedings of the 31th European Conference on IR Research on Advances in Information Retrieval
Bayesian Mixture Hierarchies for Automatic Image Annotation
ECIR '09 Proceedings of the 31th European Conference on IR Research on Advances in Information Retrieval
Analysis of Variational Bayesian Matrix Factorization
PAKDD '09 Proceedings of the 13th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Variational Bayesian Approach for Long-Term Relevance Feedback
PAKDD '09 Proceedings of the 13th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Online Feature Selection Algorithm with Bayesian l 1 Regularization
PAKDD '09 Proceedings of the 13th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Figure-ground segmentation using factor graphs
Image and Vision Computing
Approximative graph pyramid solution of the E-TSP
Image and Vision Computing
Computational methods for modeling facial aging: A survey
Journal of Visual Languages and Computing
HealthAgents: distributed multi-agent brain tumor diagnosis and prognosis
Applied Intelligence
On-line signature verification system with failure to enrol management
Pattern Recognition
A Sample of Consumer Wi-Fi Use & Security in the UK
CNSR '09 Proceedings of the 2009 Seventh Annual Communication Networks and Services Research Conference
Technical Section: Variational Bayesian noise estimation of point sets
Computers and Graphics
The mixtures of Student's t-distributions as a robust framework for rigid registration
Image and Vision Computing
Learning linear dynamical systems without sequence information
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Sparse Gaussian graphical models with unknown block structure
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Nearest neighbors in high-dimensional data: the emergence and influence of hubs
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
A least squares formulation for a class of generalized eigenvalue problems in machine learning
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Kernelized value function approximation for reinforcement learning
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Characterization of a computational grid as a complex system
GMAC '09 Proceedings of the 6th international conference industry session on Grids meets autonomic computing
Neural network method to solve inverse problems for canopy radiative transfer models
Cybernetics and Systems Analysis
SoundSense: scalable sound sensing for people-centric applications on mobile phones
Proceedings of the 7th international conference on Mobile systems, applications, and services
Mining for the most certain predictions from dyadic data
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Characterizing individual communication patterns
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Combining link and content for community detection: a discriminative approach
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Beyond blacklists: learning to detect malicious web sites from suspicious URLs
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Predicting bounce rates in sponsored search advertisements
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
A new probabilistic fuzzy model: Fuzzification--Maximization (FM) approach
International Journal of Approximate Reasoning
Cross Species Expression Analysis of Innate Immune Response
RECOMB 2'09 Proceedings of the 13th Annual International Conference on Research in Computational Molecular Biology
On-line motif detection in time series with SwiftMotif
Pattern Recognition
Combining feature spaces for classification
Pattern Recognition
Multi-Region Probabilistic Histograms for Robust and Scalable Identity Inference
ICB '09 Proceedings of the Third International Conference on Advances in Biometrics
Query dependent pseudo-relevance feedback based on wikipedia
Proceedings of the 32nd international ACM SIGIR conference on Research and development in information retrieval
Portfolio theory of information retrieval
Proceedings of the 32nd international ACM SIGIR conference on Research and development in information retrieval
Optimising Machine-Learning-Based Fault Prediction in Foundry Production
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part II: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living
AdaBoost Multiple Feature Selection and Combination for Face Recognition
IbPRIA '09 Proceedings of the 4th Iberian Conference on Pattern Recognition and Image Analysis
Ensembles of One Class Support Vector Machines
MCS '09 Proceedings of the 8th International Workshop on Multiple Classifier Systems
Foundations and Trends in Information Retrieval
Bayesian inference for nonnegative matrix factorisation models
Computational Intelligence and Neuroscience
EURASIP Journal on Bioinformatics and Systems Biology
A comparison of dimensionality reduction techniques for the P300 response
Proceedings of the 3rd International Convention on Rehabilitation Engineering & Assistive Technology
Scalable clustering and keyword suggestion for online advertisements
Proceedings of the Third International Workshop on Data Mining and Audience Intelligence for Advertising
Learning from examples to improve code completion systems
Proceedings of the the 7th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
Modelling fingerprint ridge orientation using Legendre polynomials
Pattern Recognition
A binary variable model for affinity propagation
Neural Computation
A multiclass classification method based on decoding of binary classifiers
Neural Computation
Belief propagation in networks of spiking neurons
Neural Computation
Kernel Based Subspace Projection of Near Infrared Hyperspectral Images of Maize Kernels
SCIA '09 Proceedings of the 16th Scandinavian Conference on Image Analysis
Probabilistic and Empirical Grounded Modeling of Agents in (Partial) Cooperative Traffic Scenarios
ICDHM '09 Proceedings of the 2nd International Conference on Digital Human Modeling: Held as Part of HCI International 2009
On the identification of intra-seasonal changes in the Indian summer monsoon
Proceedings of the Third International Workshop on Knowledge Discovery from Sensor Data
Change detection in rainfall and temperature patterns over India
Proceedings of the Third International Workshop on Knowledge Discovery from Sensor Data
Sequential EM for Unsupervised Adaptive Gaussian Mixture Model Based Classifier
MLDM '09 Proceedings of the 6th International Conference on Machine Learning and Data Mining in Pattern Recognition
Efficient AdaBoost Region Classification
MLDM '09 Proceedings of the 6th International Conference on Machine Learning and Data Mining in Pattern Recognition
Transient-based identification of wireless sensor nodes
IPSN '09 Proceedings of the 2009 International Conference on Information Processing in Sensor Networks
Near-optimal Bayesian localization via incoherence and sparsity
IPSN '09 Proceedings of the 2009 International Conference on Information Processing in Sensor Networks
Inferring Meta-covariates in Classification
PRIB '09 Proceedings of the 4th IAPR International Conference on Pattern Recognition in Bioinformatics
Global Context Extraction for Object Recognition Using a Combination of Range and Visual Features
Dyn3D '09 Proceedings of the DAGM 2009 Workshop on Dynamic 3D Imaging
Empower Mobile Workspaces by Wireless Networks and Wearable Computing
NEW2AN '09 and ruSMART '09 Proceedings of the 9th International Conference on Smart Spaces and Next Generation Wired/Wireless Networking and Second Conference on Smart Spaces
Time-Sensitive Language Modelling for Online Term Recurrence Prediction
ICTIR '09 Proceedings of the 2nd International Conference on Theory of Information Retrieval: Advances in Information Retrieval Theory
Modeling the Score Distributions of Relevant and Non-relevant Documents
ICTIR '09 Proceedings of the 2nd International Conference on Theory of Information Retrieval: Advances in Information Retrieval Theory
Discovering implicit intention-level knowledge from natural-language texts
Knowledge-Based Systems
Bayesian unsupervised topic segmentation
EMNLP '08 Proceedings of the Conference on Empirical Methods in Natural Language Processing
A comparison of Bayesian estimators for unsupervised Hidden Markov Model POS taggers
EMNLP '08 Proceedings of the Conference on Empirical Methods in Natural Language Processing
Quantitative Improvements in cDNA Microarray Spot Segmentation
BSB '09 Proceedings of the 4th Brazilian Symposium on Bioinformatics: Advances in Bioinformatics and Computational Biology
IPMI '09 Proceedings of the 21st International Conference on Information Processing in Medical Imaging
Projected Generalized Procrustes Alignment
IPMI '09 Proceedings of the 21st International Conference on Information Processing in Medical Imaging
Dense Registration with Deformation Priors
IPMI '09 Proceedings of the 21st International Conference on Information Processing in Medical Imaging
On AIRS and Clonal Selection for Machine Learning
ICARIS '09 Proceedings of the 8th International Conference on Artificial Immune Systems
Probability of Random Correspondence for Fingerprints
IWCF '09 Proceedings of the 3rd International Workshop on Computational Forensics
Probabilistic Modeling and Visualization of the Flexibility in Morphable Models
Proceedings of the 13th IMA International Conference on Mathematics of Surfaces XIII
Statistical Characterization of a Computer Grid
ISMIS '09 Proceedings of the 18th International Symposium on Foundations of Intelligent Systems
Feature Extraction and Selection from Vibration Measurements for Structural Health Monitoring
IDA '09 Proceedings of the 8th International Symposium on Intelligent Data Analysis: Advances in Intelligent Data Analysis VIII
On Discriminative Parameter Learning of Bayesian Network Classifiers
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part II
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part II
Heteroscedastic Probabilistic Linear Discriminant Analysis with Semi-supervised Extension
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part II
Semi-Supervised Multi-Task Regression
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part II
Rule Learning with Probabilistic Smoothing
DaWaK '09 Proceedings of the 11th International Conference on Data Warehousing and Knowledge Discovery
Topic Significance Ranking of LDA Generative Models
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part I
ICIAP '09 Proceedings of the 15th International Conference on Image Analysis and Processing
Discourse topic and gestural form
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
Global models of document structure using latent permutations
NAACL '09 Proceedings of Human Language Technologies: The 2009 Annual Conference of the North American Chapter of the Association for Computational Linguistics
A semi-supervised approach to space carving
Pattern Recognition
Efficient constrained local model fitting for non-rigid face alignment
Image and Vision Computing
Gesture salience as a hidden variable for coreference resolution and keyframe extraction
Journal of Artificial Intelligence Research
SATzilla: portfolio-based algorithm selection for SAT
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
On similarities between inference in game theory and machine learning
Journal of Artificial Intelligence Research
A Bayesian model of natural language phonology: generating alternations from underlying forms
SigMorPhon '08 Proceedings of the Tenth Meeting of ACL Special Interest Group on Computational Morphology and Phonology
Unsupervised word segmentation for Sesotho using Adaptor Grammars
SigMorPhon '08 Proceedings of the Tenth Meeting of ACL Special Interest Group on Computational Morphology and Phonology
StatMT '07 Proceedings of the Second Workshop on Statistical Machine Translation
Video surveillance and multimedia forensics: an application to trajectory analysis
MiFor '09 Proceedings of the First ACM workshop on Multimedia in forensics
Implicit emotional tagging of multimedia using EEG signals and brain computer interface
WSM '09 Proceedings of the first SIGMM workshop on Social media
Fast likelihood search for hidden Markov models
ACM Transactions on Knowledge Discovery from Data (TKDD)
Unfolding speaker clustering potential: a biomimetic approach
MM '09 Proceedings of the 17th ACM international conference on Multimedia
MM '09 Proceedings of the 17th ACM international conference on Multimedia
Contradiction and Correlation for Camera Overlap Estimation
AVSS '09 Proceedings of the 2009 Sixth IEEE International Conference on Advanced Video and Signal Based Surveillance
Predicting remote versus collocated group interactions using nonverbal cues
Proceedings of the ICMI-MLMI '09 Workshop on Multimodal Sensor-Based Systems and Mobile Phones for Social Computing
Sequential particle generation for visual tracking
IEEE Transactions on Circuits and Systems for Video Technology
Robust video fingerprinting based on symmetric pairwise boosting
IEEE Transactions on Circuits and Systems for Video Technology
Proceedings of the International Conference on Management of Emergent Digital EcoSystems
M&M: multi-level Markov model for wireless link simulations
Proceedings of the 7th ACM Conference on Embedded Networked Sensor Systems
Message family propagation for ising mean field based on iteration tree
Proceedings of the 18th ACM conference on Information and knowledge management
Topic and keyword re-ranking for LDA-based topic modeling
Proceedings of the 18th ACM conference on Information and knowledge management
Translating relevance scores to probabilities for contextual advertising
Proceedings of the 18th ACM conference on Information and knowledge management
Minimum rank error language modeling
IEEE Transactions on Audio, Speech, and Language Processing
Face active appearance modeling and speech acoustic information to recover articulation
IEEE Transactions on Audio, Speech, and Language Processing - Special issue on multimodal processing in speech-based interactions
Effective maximum likelihood grid map withconflict evaluation filter using sonar sensors
IEEE Transactions on Robotics
Recursive Bayesian linear regression for adaptive classification
IEEE Transactions on Signal Processing
Augmenting cartographic resources for autonomous driving
Proceedings of the 17th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
A formal model for virtual machine introspection
Proceedings of the 1st ACM workshop on Virtual machine security
Variational Bayesian blind deconvolution using a total variation prior
IEEE Transactions on Image Processing
Variational Bayesian sparse kernel-based blind image deconvolution with student's-t priors
IEEE Transactions on Image Processing
IEEE Transactions on Image Processing
Intelligent acquisition and learning of fluorescence microscope data models
IEEE Transactions on Image Processing
Robust label propagation on multiple networks
IEEE Transactions on Neural Networks
Constructing sparse kernel machines using attractors
IEEE Transactions on Neural Networks
Sparse Bayesian modeling with adaptive kernel learning
IEEE Transactions on Neural Networks
A growing and pruning method for radial basis function networks
IEEE Transactions on Neural Networks
"Vague-to-crisp" neural mechanism of perception
IEEE Transactions on Neural Networks
Information theoretic novelty detection
Pattern Recognition
EURASIP Journal on Advances in Signal Processing - Special issue on analysis and signal processing of oesophageal and pathological voices
Efficient skill learning using abstraction selection
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Self-supervised aerial image analysis for extracting parking lot structure
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Automatic 3D object segmentation in multiple views using volumetric graph-cuts
Image and Vision Computing
Variational inference for grammar induction with prior knowledge
ACLShort '09 Proceedings of the ACL-IJCNLP 2009 Conference Short Papers
How to sample from a truncated distribution if you must
Artificial Intelligence Review
Impressionism, expressionism, surrealism: Automated recognition of painters and schools of art
ACM Transactions on Applied Perception (TAP)
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Proceedings of the 2009 International Conference on Computer-Aided Design
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Avoidance of constraint violation for experiment-based evolutionary multi-objective optimization
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Local adaptive learning and fusion for side information interpolation in distributed video coding
PCS'09 Proceedings of the 27th conference on Picture Coding Symposium
Variational decoding for statistical machine translation
ACL '09 Proceedings of the Joint Conference of the 47th Annual Meeting of the ACL and the 4th International Joint Conference on Natural Language Processing of the AFNLP: Volume 2 - Volume 2
Local Feature Selection for the Relevance Vector Machine Using Adaptive Kernel Learning
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part I
Constrained Learning Vector Quantization or Relaxed k-Separability
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part I
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part I
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part I
An EM Based Training Algorithm for Recurrent Neural Networks
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part I
Probabilistic Estimation of Travel Behaviors Using Zone Characteristics
KES '09 Proceedings of the 13th International Conference on Knowledge-Based and Intelligent Information and Engineering Systems: Part II
A Fuzzy Region-Based Hidden Markov Model for Partial-Volume Classification in Brain MRI
MICCAI '09 Proceedings of the 12th International Conference on Medical Image Computing and Computer-Assisted Intervention: Part II
Acquiring and Classifying Signals from Nanopores and Ion-Channels
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part II
Adaptive Ensemble Models of Extreme Learning Machines for Time Series Prediction
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part II
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part II
ICANN '09 Proceedings of the 19th International Conference on Artificial Neural Networks: Part II
Support Vector Optimization through Hybrids: Heuristics and Math Approach
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Leukocyte Recognition Using EM-Algorithm
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
Density Ratio Estimation: A New Versatile Tool for Machine Learning
ACML '09 Proceedings of the 1st Asian Conference on Machine Learning: Advances in Machine Learning
Finding Images with Similar Lighting Conditions in Large Photo Collections
CIARP '09 Proceedings of the 14th Iberoamerican Conference on Pattern Recognition: Progress in Pattern Recognition, Image Analysis, Computer Vision, and Applications
Prediction of Sequential Values for Debt Recovery
CIARP '09 Proceedings of the 14th Iberoamerican Conference on Pattern Recognition: Progress in Pattern Recognition, Image Analysis, Computer Vision, and Applications
A Bag of Features Approach for 3D Shape Retrieval
ISVC '09 Proceedings of the 5th International Symposium on Advances in Visual Computing: Part I
Comparison of Segmentation Algorithms for the Zebrafish Heart in Fluorescent Microscopy Images
ISVC '09 Proceedings of the 5th International Symposium on Advances in Visual Computing: Part II
Common Motion Map Based on Codebooks
ISVC '09 Proceedings of the 5th International Symposium on Advances in Visual Computing: Part II
Variational Bayes Adapted GMM Based Models for Audio Clip Classification
PReMI '09 Proceedings of the 3rd International Conference on Pattern Recognition and Machine Intelligence
Information Sciences: an International Journal
Emotion recognition from speech via boosted Gaussian mixture models
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
DAVID: discriminant analysis for verification of monuments in image data
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
Locality preserving speaker clustering
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
An automatic language identification method based on subspace analysis
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
Classification-based relay selection for video streaming over wireless multihop networks
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
A variational multi-view learning framework and its application to image segmentation
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
Graphical models over multiple strings
EMNLP '09 Proceedings of the 2009 Conference on Empirical Methods in Natural Language Processing: Volume 1 - Volume 1
SOFOMORE: combined EEG source and forward model reconstruction
ISBI'09 Proceedings of the Sixth IEEE international conference on Symposium on Biomedical Imaging: From Nano to Macro
Segmentation and classification of triple negative breast cancers using DCE-MRI
ISBI'09 Proceedings of the Sixth IEEE international conference on Symposium on Biomedical Imaging: From Nano to Macro
A real-time monitoring system for diesel and gasoline exhaust exposure
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Application of combined support vector machines in process fault diagnosis
ACC'09 Proceedings of the 2009 conference on American Control Conference
A visual odometry framework robust to motion blur
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
CI-graph: an efficient approach for large scale SLAM
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
CI-graph: an efficient approach for large scale SLAM
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Probabilistic action planning for active scene modeling in continuous high-dimensional domains
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Onboard contextual classification of 3-D point clouds with learned high-order Markov random fields
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
MultiLogistic regression using initial and radial basis function covariates
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
GNG-SVM framework: classifying large datasets with support vector machines using growing neural gas
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
A gradient-based algorithm competitive with variational Bayesian EM for mixture of Gaussians
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Bayesian PCA for reconstruction of historical sea surface temperatures
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Survival prediction in patients undergoing ischemic cardiopathy
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Learning the number of Gaussian cusing hypothesis test
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Robust kernel PCA using fuzzy membership
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Using weak supervision in learning Gaussian mixture models
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
On classifiability of wavelet features for EEG-based brain-computer interfaces
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Data classification with a generalized Gaussian components based density estimation algorithm
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Classification of startle eyeblink metrics using neural networks
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
The Probabilistic Relevance Framework: BM25 and Beyond
Foundations and Trends in Information Retrieval
Hebbian self-organizing integrate-and-fire networks for data clustering
Neural Computation
A new and fast implementation for null space based linear discriminant analysis
Pattern Recognition
One-Class Classification methods via automatic counter-example generation
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
AIA '08 Proceedings of the 26th IASTED International Conference on Artificial Intelligence and Applications
Multi-stage classification of emotional speech motivated by a dimensional emotion model
Multimedia Tools and Applications
BioMED '08 Proceedings of the Sixth IASTED International Conference on Biomedical Engineering
Non-linear regression models for Approximate Bayesian Computation
Statistics and Computing
A unified framework for improving the accuracy of all holistic face identification algorithms
Artificial Intelligence Review
Spatially Varying Mixtures Incorporating Line Processes for Image Segmentation
Journal of Mathematical Imaging and Vision
Feature Selection for Gene Expression Using Model-Based Entropy
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Personalized reading support for second-language web documents by collective intelligence
Proceedings of the 15th international conference on Intelligent user interfaces
IEEE Transactions on Multimedia
Graph classification by means of Lipschitz embedding
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Behavior detection using confidence intervals of hidden Markov models
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Why fuzzy decision trees are good rankers
IEEE Transactions on Fuzzy Systems
Account-Sharing Detection Through Keystroke Dynamics Analysis
International Journal of Electronic Commerce
Improved object segmentation based on 2D/3D images
SPPRA '08 Proceedings of the Fifth IASTED International Conference on Signal Processing, Pattern Recognition and Applications
High-throughput bayesian computing machine with reconfigurable hardware
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
Proceedings of the 2010 ACM SIGGRAPH symposium on Interactive 3D Graphics and Games
3PRS: a personalized popular program recommendation system for digital TV for P2P social networks
Multimedia Tools and Applications
Feature Fusion Applied to Missing Data ASR with the Combination of Recognizers
Journal of Signal Processing Systems
Robust feature extractions from geometric data using geometric algebra
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
An efficient image pattern recognition system using an evolutionary search strategy
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Segmentation and analysis of console operation using self-organizing map with cluster growing method
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Towards reliable perception for unmanned ground vehicles in challenging conditions
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Applying pLSA to region-based image categorization with soft vector quantization
Proceedings of the First International Conference on Internet Multimedia Computing and Service
Statistical Methods and Models for Video-Based Tracking, Modeling, and Recognition
Foundations and Trends in Signal Processing
Content modeling using latent permutations
Journal of Artificial Intelligence Research
Learning Visual Object Categories for Robot Affordance Prediction
International Journal of Robotics Research
Designing Model Based Classifiers by Emphasizing Soft Targets
Fundamenta Informaticae - Advances in Artificial Intelligence and Applications
BTAS'09 Proceedings of the 3rd IEEE international conference on Biometrics: Theory, applications and systems
IEEE Transactions on Image Processing
A new probabilistic approach to on-line learning in artificial neural networks
ASMCSS'09 Proceedings of the 3rd International Conference on Applied Mathematics, Simulation, Modelling, Circuits, Systems and Signals
Constrained Laplacian Eigenmap for dimensionality reduction
Neurocomputing
Restoration of images corrupted by Gaussian and uniform impulsive noise
Pattern Recognition
Progressive randomization: Seeing the unseen
Computer Vision and Image Understanding
An optimization on pictogram identification for the road-sign recognition task using SVMs
Computer Vision and Image Understanding
Attacks on physical-layer identification
Proceedings of the third ACM conference on Wireless network security
Feature selection for content-based, time-varying musical emotion regression
Proceedings of the international conference on Multimedia information retrieval
Towards task-independent person authentication using eye movement signals
Proceedings of the 2010 Symposium on Eye-Tracking Research & Applications
Non-rigid face tracking with enforced convexity and local appearance consistency constraint
Image and Vision Computing
OPTIMOL: Automatic Online Picture Collection via Incremental Model Learning
International Journal of Computer Vision
The segmented and annotated IAPR TC-12 benchmark
Computer Vision and Image Understanding
Learning grasping points with shape context
Robotics and Autonomous Systems
Regularized margin-based conditional log-likelihood loss for prototype learning
Pattern Recognition
A multi-agent systems approach to distributed bayesian information fusion
Information Fusion
Bayesian network modeling for evolutionary genetic structures
Computers & Mathematics with Applications
Information Sciences: an International Journal
Cortical bone classification by local context analysis
MIRAGE'07 Proceedings of the 3rd international conference on Computer vision/computer graphics collaboration techniques
EEG-based drivers' drowsiness monitoring using a hierarchical Gaussian mixture model
FAC'07 Proceedings of the 3rd international conference on Foundations of augmented cognition
SSVM'07 Proceedings of the 1st international conference on Scale space and variational methods in computer vision
Real-time visual recognition of objects and scenes using P-channel matching
SCIA'07 Proceedings of the 15th Scandinavian conference on Image analysis
Novelty detection in large-vehicle turbocharger operation
IEA/AIE'07 Proceedings of the 20th international conference on Industrial, engineering, and other applications of applied intelligent systems
Concepts for novelty detection and handling based on a case-based reasoning process scheme
ICDM'07 Proceedings of the 7th industrial conference on Advances in data mining: theoretical aspects and applications
A neuro-fuzzy approach for sensor network data cleaning
KES'07/WIRN'07 Proceedings of the 11th international conference, KES 2007 and XVII Italian workshop on neural networks conference on Knowledge-based intelligent information and engineering systems: Part III
Hierarchical hardness models for SAT
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Representing musical sounds with an interpolating state model
IEEE Transactions on Audio, Speech, and Language Processing
Fuzzy PCA-guided robust k-means clustering
IEEE Transactions on Fuzzy Systems
Gaussian multiresolution models: exploiting sparse Markov and covariance structure
IEEE Transactions on Signal Processing
Statistical models of music-listening sessions in social media
Proceedings of the 19th international conference on World wide web
Automatic creation of tile size selection models
Proceedings of the 8th annual IEEE/ACM international symposium on Code generation and optimization
A survey on the application of genetic programming to classification
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
Topology modeling for Adaboost-cascade based object detection
Pattern Recognition Letters
Image segmentation using co-EM strategy
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part II
Towards a general framework for data mining
KDID'06 Proceedings of the 5th international conference on Knowledge discovery in inductive databases
Split-merge incremental learning (SMILE) of mixture models
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
A method to estimate the graph structure for a large MRF model
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
A variational bayes approach to image segmentation
BVAI'07 Proceedings of the 2nd international conference on Advances in brain, vision and artificial intelligence
Combining models of pose and dynamics for human motion recognition
ISVC'07 Proceedings of the 3rd international conference on Advances in visual computing - Volume Part II
Probabilistic combination of visual cues for object classification
ISVC'07 Proceedings of the 3rd international conference on Advances in visual computing - Volume Part I
PReMI'07 Proceedings of the 2nd international conference on Pattern recognition and machine intelligence
Mixture-of-Laplacian faces and its application to face recognition
PReMI'07 Proceedings of the 2nd international conference on Pattern recognition and machine intelligence
Deformable object tracking: a kernel density estimation approach via level set function evolution
PReMI'07 Proceedings of the 2nd international conference on Pattern recognition and machine intelligence
Cumulative global distance for dimension reduction in handwritten digits database
VISUAL'07 Proceedings of the 9th international conference on Advances in visual information systems
Semantic quantization of 3D human motion capture data through spatial-temporal feature extraction
MMM'08 Proceedings of the 14th international conference on Advances in multimedia modeling
Feature selection by nonparametric Bayes error minimization
PAKDD'08 Proceedings of the 12th Pacific-Asia conference on Advances in knowledge discovery and data mining
Semi-supervised speaker identification under covariate shift
Signal Processing
An FIS for early detection of defect prone modules
ICIC'09 Proceedings of the Intelligent computing 5th international conference on Emerging intelligent computing technology and applications
A new framework for machine learning
WCCI'08 Proceedings of the 2008 IEEE world conference on Computational intelligence: research frontiers
STORM: a novel information fusion and cluster interpretation technique
IDEAL'09 Proceedings of the 10th international conference on Intelligent data engineering and automated learning
Multi-task feature learning via efficient l2, 1-norm minimization
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
Derivations of normalized mutual information in binary classifications
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 1
Reward-modulated hebbian learning of decision making
Neural Computation
ERACER: a database approach for statistical inference and data cleaning
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Structured annotations of web queries
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Transfer estimation of evolving class priors in data stream classification
Pattern Recognition
Data compression by volume prototypes for streaming data
Pattern Recognition
Capacity impact of location-aware cognitive sensing
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Firing rate estimation using an approximate Bayesian method
ICONIP'08 Proceedings of the 15th international conference on Advances in neuro-information processing - Volume Part I
Machine learning techniques for selforganizing combustion control
KI'09 Proceedings of the 32nd annual German conference on Advances in artificial intelligence
Maximum a posteriori estimation of dynamically changing distributions
KI'09 Proceedings of the 32nd annual German conference on Advances in artificial intelligence
Large scale fingerprint mining
Proceedings of the Tenth International Workshop on Multimedia Data Mining
Feature distribution modelling techniques for 3D face verification
Pattern Recognition Letters
Information extraction by finding repeated structure
DAS '10 Proceedings of the 9th IAPR International Workshop on Document Analysis Systems
CRABSS: CalRAdio-Based advanced Spectrum Scanner for cognitive networks
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
Patterns from multiresolution 0-1 data
Proceedings of the ACM SIGKDD Workshop on Useful Patterns
Statistical analysis of IP delay measurements as a basis for network alert systems
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
EEG signals classification for brain computer interfaces based on Gaussian process classifier
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Bayesian blind deconvolution from differently exposed image pairs
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Category sensitive codebook construction for object category recognition
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
A joint model of complex wavelet coefficients for texture retrieval
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Kernel methods in orthogonalization of multi- and hypervariate data
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Active learning and basis selection for kernel-based linear models: a Bayesian perspective
IEEE Transactions on Signal Processing
Distributed estimation of channel gains in wireless sensor networks
IEEE Transactions on Signal Processing
Bayesian compressive sensing using Laplace priors
IEEE Transactions on Image Processing
Relevance units latent variable model and nonlinear dimensionality reduction
IEEE Transactions on Neural Networks
Analysis of computer science related curriculum on LDA and Isomap
Proceedings of the fifteenth annual conference on Innovation and technology in computer science education
IEEE Transactions on Information Theory - Special issue on information theory in molecular biology and neuroscience
Computation in Sofic Quantum Dynamical Systems
Natural Computing: an international journal
Track-based self-supervised classification of dynamic obstacles
Autonomous Robots
Non-parametric Learning to Aid Path Planning over Slopes
International Journal of Robotics Research
The infinite hidden Markov random field model
IEEE Transactions on Neural Networks
Bayesian methods for fMRI time-series analysis using a nonstationary model for the noise
IEEE Transactions on Information Technology in Biomedicine - Special section on new and emerging technologies in bioinformatics and bioengineering
Document classification utilising ontologies and relations between documents
Proceedings of the Eighth Workshop on Mining and Learning with Graphs
Probabilistic performance profiles for the experimental evaluation of stochastic algorithms
Proceedings of the 12th annual conference on Genetic and evolutionary computation
ConBreO: a music performance rendering system using hybrid approach of IEC and automated evolution
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Causal inference for statistical fault localization
Proceedings of the 19th international symposium on Software testing and analysis
Sensitivity versus accuracy in multiclass problems using memetic Pareto evolutionary neural networks
IEEE Transactions on Neural Networks
So near and yet so far: New insight into properties of some well-known classifier paradigms
Information Sciences: an International Journal
Activity recognition using semi-Markov models on real world smart home datasets
Journal of Ambient Intelligence and Smart Environments
On statistical analysis and optimization of information retrieval effectiveness metrics
Proceedings of the 33rd international ACM SIGIR conference on Research and development in information retrieval
Mining advisor-advisee relationships from research publication networks
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
A scalable two-stage approach for a class of dimensionality reduction techniques
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Collusion-resistant privacy-preserving data mining
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Combined regression and ranking
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Modeling stereopsis via markov random field
Neural Computation
Polychotomous kernel Fisher discriminant via top-down induction of binary tree
Computers & Mathematics with Applications
Block-wise 2D kernel PCA/LDA for face recognition
Information Processing Letters
Nonlinear regression model generation using hyperparameter optimization
Computers & Mathematics with Applications
Expectation Propagation for microarray data classification
Pattern Recognition Letters
Interaction-based information filtering for children
Proceedings of the third symposium on Information interaction in context
Time-space-sequential distributed particle filtering with low-rate communications
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Identification, Modelling and Prediction of Non-periodic Bursts in Workloads
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
AIM-HI: a framework for request routing in large-scale IT global service delivery
IBM Journal of Research and Development
Information Sciences: an International Journal
Mobile social signal processing: vision and research issues
Proceedings of the 12th international conference on Human computer interaction with mobile devices and services
An activity monitoring system for elderly care using generative and discriminative models
Personal and Ubiquitous Computing
Developing objective measures of foreign-accent conversion
IEEE Transactions on Audio, Speech, and Language Processing
A visitor counter system using fuzzy measure theory and boosting method
WSEAS Transactions on Information Science and Applications
Partitioning streaming parallelism for multi-cores: a machine learning based approach
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
A Bayesian decision fusion approach for microRNA target prediction
Proceedings of the First ACM International Conference on Bioinformatics and Computational Biology
Physical-layer identification of RFID devices
SSYM'09 Proceedings of the 18th conference on USENIX security symposium
Which brainstem cells generate the respiration cycles?
ISBI'10 Proceedings of the 2010 IEEE international conference on Biomedical imaging: from nano to Macro
Cell segmentation in microscopy imagery using a bag of local Bayesian classifiers
ISBI'10 Proceedings of the 2010 IEEE international conference on Biomedical imaging: from nano to Macro
Attack scenario recognition through heterogeneous event stream analysis
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Adaptive linear models for regression: Improving prediction when population has changed
Pattern Recognition Letters
Bayesian Browsing Model: Exact Inference of Document Relevance from Petabyte-Scale Data
ACM Transactions on Knowledge Discovery from Data (TKDD)
Unsupervised induction of semantic roles
HLT '10 Human Language Technologies: The 2010 Annual Conference of the North American Chapter of the Association for Computational Linguistics
Efficient optimization of an MDL-inspired objective function for unsupervised part-of-speech tagging
ACLShort '10 Proceedings of the ACL 2010 Conference Short Papers
Learning topical transition probabilities in click through data with regression models
Procceedings of the 13th International Workshop on the Web and Databases
Bayesian Learning in Sparse Graphical Factor Models via Variational Mean-Field Annealing
The Journal of Machine Learning Research
Practical Approaches to Principal Component Analysis in the Presence of Missing Values
The Journal of Machine Learning Research
Efficient Heuristics for Discriminative Structure Learning of Bayesian Network Classifiers
The Journal of Machine Learning Research
Did you see Bob?: human localization using mobile phones
Proceedings of the sixteenth annual international conference on Mobile computing and networking
Physical-layer identification of UHF RFID tags
Proceedings of the sixteenth annual international conference on Mobile computing and networking
Kernel-Based Hybrid Random Fields for Nonparametric Density Estimation
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Object recognition algorithm using a vocabulary tree and a pre-matching array
Artificial Life and Robotics
Variational segmentation algorithms with label frequency constraints
Pattern Recognition and Image Analysis
An extension of the standard mixture model for image segmentation
IEEE Transactions on Neural Networks
Recognition of affect based on gait patterns
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on gait analysis
A genetic procedure used to train RFB neural networks
NN'10/EC'10/FS'10 Proceedings of the 11th WSEAS international conference on nural networks and 11th WSEAS international conference on evolutionary computing and 11th WSEAS international conference on Fuzzy systems
Proceedings of the 12th ACM international conference on Ubiquitous computing
EmotionSense: a mobile phones based adaptive platform for experimental social psychology research
Proceedings of the 12th ACM international conference on Ubiquitous computing
SVM-based supervised and unsupervised classification schemes
WSEAS Transactions on Computers
Training of RFB neural networks using a full-genetic approach
WSEAS Transactions on Information Science and Applications
Sensing foot gestures from the pocket
UIST '10 Proceedings of the 23nd annual ACM symposium on User interface software and technology
Modeling attacks on physical unclonable functions
Proceedings of the 17th ACM conference on Computer and communications security
Artificial Intelligence in Medicine
Designing heterogeneous embedded network-on-chip platforms with users in mind
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A location predictor based on dependencies between multiple lifelog data
Proceedings of the 2nd ACM SIGSPATIAL International Workshop on Location Based Social Networks
Extract: mining social features from WLAN traces--a gender-based case study
Proceedings of the 13th ACM international conference on Modeling, analysis, and simulation of wireless and mobile systems
L1 regularized regression for reranking and system combination in machine translation
WMT '10 Proceedings of the Joint Fifth Workshop on Statistical Machine Translation and MetricsMATR
Probabilistic modeling of traffic lanes from GPS traces
Proceedings of the 18th SIGSPATIAL International Conference on Advances in Geographic Information Systems
Glimpsing IVA: a framework for overcomplete/complete/undercomplete convolutive source separation
IEEE Transactions on Audio, Speech, and Language Processing - Special issue on processing reverberant speech: methodologies and applications
A Bayesian framework for image segmentation with spatially varying mixtures
IEEE Transactions on Image Processing
Distinguishing between positive and negative opinions with complex network features
TextGraphs-5 Proceedings of the 2010 Workshop on Graph-based Methods for Natural Language Processing
Incorporating content structure into text analysis applications
EMNLP '10 Proceedings of the 2010 Conference on Empirical Methods in Natural Language Processing
Latent-descriptor clustering for unsupervised POS induction
EMNLP '10 Proceedings of the 2010 Conference on Empirical Methods in Natural Language Processing
What's with the attitude?: identifying sentences with attitude in online discussions
EMNLP '10 Proceedings of the 2010 Conference on Empirical Methods in Natural Language Processing
A latent variable model for geographic lexical variation
EMNLP '10 Proceedings of the 2010 Conference on Empirical Methods in Natural Language Processing
Learning click models via probit bayesian inference
CIKM '10 Proceedings of the 19th ACM international conference on Information and knowledge management
BP-tree: an efficient index for similarity search in high-dimensional metric spaces
CIKM '10 Proceedings of the 19th ACM international conference on Information and knowledge management
Mining data with random forests: A survey and results of new tests
Pattern Recognition
A biomimetic approach to inverse kinematics for a redundant robot arm
Autonomous Robots
Comprehensive Automation for Specialty Crops: Year 1 results and lessons learned
Intelligent Service Robotics
A character-based joint model for Chinese word segmentation
COLING '10 Proceedings of the 23rd International Conference on Computational Linguistics
Self-diagnostic peer-assisted video streaming through a learning framework
Proceedings of the international conference on Multimedia
The idiap wolf corpus: exploring group behaviour in a competitive role-playing game
Proceedings of the international conference on Multimedia
Nearest-neighbor classification using unlabeled data for real world image application
Proceedings of the international conference on Multimedia
User-centric design space exploration for heterogeneous network-on-chip platforms
Proceedings of the Conference on Design, Automation and Test in Europe
On supporting identification in a hand-based biometric framework
ICISP'10 Proceedings of the 4th international conference on Image and signal processing
Estimating 3D pose via stochastic search and expectation maximization
AMDO'10 Proceedings of the 6th international conference on Articulated motion and deformable objects
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
The voice of personality: mapping nonverbal vocal behavior into trait attributions
Proceedings of the 2nd international workshop on Social signal processing
Complex feature alternating decision tree
International Journal of Intelligent Systems Technologies and Applications
An introduction to Bayesian techniques for sensor networks
WASA'10 Proceedings of the 5th international conference on Wireless algorithms, systems, and applications
MMG: a learning game platform for understanding and predicting human recall memory
PKAW'10 Proceedings of the 11th international conference on Knowledge management and acquisition for smart systems and services
Content-aware resolution sequence mining for ticket routing
BPM'10 Proceedings of the 8th international conference on Business process management
Proceedings of the 1st ACM International Health Informatics Symposium
Generalized derivative based kernelized learning vector quantization
IDEAL'10 Proceedings of the 11th international conference on Intelligent data engineering and automated learning
Adapting preshaped grasping movements using vision descriptors
SAB'10 Proceedings of the 11th international conference on Simulation of adaptive behavior: from animals to animats
Event recognition via energy efficient voting for wireless sensor networks
ruSMART/NEW2AN'10 Proceedings of the Third conference on Smart Spaces and next generation wired, and 10th international conference on Wireless networking
Application of semi-Bayesian neural networks in the identification of load causing beam yielding
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part I
Visual shape recognition neural network using besom model
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part III
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part III
Autonomous generation of internal representations for associative learning
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part III
Theoretical analysis of cross-validation(CV)-EM algorithm
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part III
Non-parametric mixture models for clustering
SSPR&SPR'10 Proceedings of the 2010 joint IAPR international conference on Structural, syntactic, and statistical pattern recognition
SSPR&SPR'10 Proceedings of the 2010 joint IAPR international conference on Structural, syntactic, and statistical pattern recognition
Parallel training of neural networks for speech recognition
TSD'10 Proceedings of the 13th international conference on Text, speech and dialogue
Occlusion boundary detection using pseudo-depth
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part IV
Efficient highly over-complete sparse coding using a mixture model
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part V
Handling urban location recognition as a 2D homothetic problem
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part VI
Emotion recognition from arbitrary view facial images
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part VI
Classification with sums of separable functions
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part I
Hub gene selection methods for the reconstruction of transcription networks
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part I
Expectation propagation for Bayesian multi-task feature selection
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part I
Online learning in adversarial Lipschitz environments
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part II
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part I
Modeling conformation of protein loops by Bayesian network
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and simulation and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part III
Large margin learning of Bayesian classifiers based on Gaussian mixture models
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part III
Fast and scalable algorithms for semi-supervised link prediction on static and dynamic graphs
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part III
Competitive online generalized linear regression under square loss
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part III
Disambiguation in the biomedical domain: The role of ambiguity type
Journal of Biomedical Informatics
The application of structured learning in natural language processing
Machine Translation
A minimum relative entropy principle for learning and acting
Journal of Artificial Intelligence Research
A two microphone-based approach for source localization of multiple speech sources
IEEE Transactions on Audio, Speech, and Language Processing
Bayesian blind deconvolution from differently exposed image pairs
IEEE Transactions on Image Processing
Probabilistic self-organizing maps for continuous data
IEEE Transactions on Neural Networks
Multiclass relevance vector machines: sparsity and accuracy
IEEE Transactions on Neural Networks
Facial expression recognition in JAFFE dataset based on Gaussian process classification
IEEE Transactions on Neural Networks
Computationally efficient sparse Bayesian learning via belief propagation
IEEE Transactions on Signal Processing
Learning figures with the hausdorff metric by fractals
ALT'10 Proceedings of the 21st international conference on Algorithmic learning theory
Discovering the visual patterns elicited by human scan-path
Proceedings of the 2010 conference on Biologically Inspired Cognitive Architectures 2010: Proceedings of the First Annual Meeting of the BICA Society
Classifying sleep apneas using neural networks and a combination of experts
CAEPIA'09 Proceedings of the Current topics in artificial intelligence, and 13th conference on Spanish association for artificial intelligence
Incremental prediction for sequential data
ACIIDS'10 Proceedings of the Second international conference on Intelligent information and database systems: Part II
Using text classification method in relevance feedback
ACIIDS'10 Proceedings of the Second international conference on Intelligent information and database systems: Part II
Evaluating an avatar-based user interface for discovering new music
Proceedings of the 9th International Conference on Mobile and Ubiquitous Multimedia
Pattern classification driven enhancements for human-in-the-loop decision support systems
Decision Support Systems
Network-based sparse Bayesian classification
Pattern Recognition
A*-tree: a structure for storage and modeling of uncertain multidimensional arrays
Proceedings of the VLDB Endowment
k-nearest neighbors in uncertain graphs
Proceedings of the VLDB Endowment
Comparative study of segmentation of periodic motion data for mobile gait analysis
WH '10 Wireless Health 2010
A Bayesian interactive optimization approach to procedural animation design
Proceedings of the 2010 ACM SIGGRAPH/Eurographics Symposium on Computer Animation
Using external aggregate ratings for improving individual recommendations
ACM Transactions on the Web (TWEB)
Trustworthy Service Selection and Composition
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Detecting forgery from static-scene video based on inconsistency in noise level functions
IEEE Transactions on Information Forensics and Security
An optimized tongue image color correction scheme
IEEE Transactions on Information Technology in Biomedicine
A two-stage dynamic model for visual tracking
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Journal of Visual Communication and Image Representation
Scalable information extraction for web queries
International Journal of Computational Science and Engineering
International Journal of Knowledge Engineering and Soft Data Paradigms
Human action recognition in video by 'meaningful' poses
Proceedings of the Seventh Indian Conference on Computer Vision, Graphics and Image Processing
Knowledge discovery from 3D human motion streams through semantic dimensional reduction
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Classification and Semantic Mapping of Urban Environments
International Journal of Robotics Research
3D knowledge-based segmentation using pose-invariant higher-order graphs
MICCAI'10 Proceedings of the 13th international conference on Medical image computing and computer-assisted intervention: Part III
Supervised probabilistic classification based on Gaussian copulas
MICAI'10 Proceedings of the 9th Mexican international conference on Artificial intelligence conference on Advances in soft computing: Part II
Tract-based probability densities of diffusivity measures in DT-MRI
MICCAI'10 Proceedings of the 13th international conference on Medical image computing and computer-assisted intervention: Part I
Robust tracking in aerial imagery based on an ego-motion Bayesian model
EURASIP Journal on Advances in Signal Processing - Special issue on advanced image processing for defense and security applications
Recognizing human actions using NWFE-based histogram vectors
EURASIP Journal on Advances in Signal Processing - Special issue on video analysis for human behavior understanding
Dimensional reduction in the protein secondary structure prediction: non-linear method improvements
International Journal of Computational Intelligence in Bioinformatics and Systems Biology
State-space dynamics distance for clustering sequential data
Pattern Recognition
Probabilistic graphical models in artificial intelligence
Applied Soft Computing
A Predictive Model for Dynamic Microarchitectural Adaptivity Control
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Clustering product features for opinion mining
Proceedings of the fourth ACM international conference on Web search and data mining
A probabilistic approach for learning folksonomies from structured data
Proceedings of the fourth ACM international conference on Web search and data mining
Theoretical and practical aspects of mutual information-based side channel analysis
International Journal of Applied Cryptography
Evaluations of multi-learner approaches for concept indexing in video documents
RIAO '10 Adaptivity, Personalization and Fusion of Heterogeneous Information
Clustering and semantics preservation in cultural heritage information spaces
RIAO '10 Adaptivity, Personalization and Fusion of Heterogeneous Information
Research and applications on georeferenced multimedia: a survey
Multimedia Tools and Applications
Multiple view clustering using a weighted combination of exemplar-based mixture models
IEEE Transactions on Neural Networks
Logistic label propagation for semi-supervised learning
ICONIP'10 Proceedings of the 17th international conference on Neural information processing: theory and algorithms - Volume Part I
Phase space for face pose estimation
ISVC'10 Proceedings of the 6th international conference on Advances in visual computing - Volume Part III
3D curvature-based shape descriptors for face segmentation: an anatomical-based analysis
ISVC'10 Proceedings of the 6th international conference on Advances in visual computing - Volume Part III
Signal/collect: graph algorithms for the (semantic) web
ISWC'10 Proceedings of the 9th international semantic web conference on The semantic web - Volume Part I
Generative Structure Learning for Markov Logic Networks
Proceedings of the 2010 conference on STAIRS 2010: Proceedings of the Fifth Starting AI Researchers' Symposium
Conference Internationale Francophone sur I'Interaction Homme-Machine
Conjugate mixture models for clustering multimodal data
Neural Computation
Dynamic soft encoded patterns for facial event analysis
Computer Vision and Image Understanding
Multifactor feature extraction for human movement recognition
Computer Vision and Image Understanding
Document clustering using synthetic cluster prototypes
Data & Knowledge Engineering
Monolingual distributional profiles for word substitution in machine translation
COLING '10 Proceedings of the 23rd International Conference on Computational Linguistics: Posters
Log-linear weight optimisation via Bayesian adaptation in statistical machine translation
COLING '10 Proceedings of the 23rd International Conference on Computational Linguistics: Posters
From engineering diagrams to engineering models: Visual recognition and applications
Computer-Aided Design
Convergence proof of matrix dynamics for online linear discriminant analysis
Journal of Multivariate Analysis
Component stress evaluation in an electrical power distribution system using neural networks
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part III
The fuzzy gene filter: an adaptive fuzzy inference system for expression array feature selection
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part III
Multimodal biometric score fusion using Gaussian mixture model and Monte Carlo method
Journal of Computer Science and Technology
Investigating sequential patterns of DNS usage and its applications
ADMA'10 Proceedings of the 6th international conference on Advanced data mining and applications: Part I
Incremental multiple classifier active learning for concept indexing in images and videos
MMM'11 Proceedings of the 17th international conference on Advances in multimedia modeling - Volume Part I
More than words: inference of socially relevant information from nonverbal vocal cues in speech
Proceedings of the Third COST 2102 international training school conference on Toward autonomous, adaptive, and context-aware multimodal interfaces: theoretical and practical issues
TopRecs: Top-k algorithms for item-based collaborative filtering
Proceedings of the 14th International Conference on Extending Database Technology
Human-assisted graph search: it's okay to ask questions
Proceedings of the VLDB Endowment
Hubs in Space: Popular Nearest Neighbors in High-Dimensional Data
The Journal of Machine Learning Research
Expectation Truncation and the Benefits of Preselection In Training Generative Models
The Journal of Machine Learning Research
Approximate Riemannian Conjugate Gradient Learning for Fixed-Form Variational Bayes
The Journal of Machine Learning Research
Exploitation of Machine Learning Techniques in Modelling Phrase Movements for Machine Translation
The Journal of Machine Learning Research
SIAM Journal on Discrete Mathematics
A New and Fast Orthogonal Linear Discriminant Analysis on Undersampled Problems
SIAM Journal on Scientific Computing
An extended image hashing concept: content-based fingerprinting using FJLT
EURASIP Journal on Information Security
Visual tracking using the Earth Mover's Distance between Gaussian mixtures and Kalman filtering
Image and Vision Computing
Variational bayes for modeling score distributions
Information Retrieval
A multimodal constellation model for object image classification
Journal on Image and Video Processing - Special issue on selected papers from multimedia modeling conference 2009
Exploiting Textons distributions on spatial hierarchy for scene classification
Journal on Image and Video Processing - Special issue on selected papers from multimedia modeling conference 2009
Relevance vector machines for enhanced BER probability in DMT-based systems
Journal of Electrical and Computer Engineering
Modeling sense disambiguation of human pose: recognizing action at a distance by key poses
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part I
Learning adaptive and sparse representations of medical images
MCV'10 Proceedings of the 2010 international MICCAI conference on Medical computer vision: recognition techniques and applications in medical imaging
MCV'10 Proceedings of the 2010 international MICCAI conference on Medical computer vision: recognition techniques and applications in medical imaging
Methods for approximations of quantitative measures in self-organizing systems
IWSOS'11 Proceedings of the 5th international conference on Self-organizing systems
ACM SIGGRAPH 2011 papers
MMES'10 Proceedings of the 2010 international conference on Mathematical models for engineering science
Ant colony optimization for logistic regression and its application to wine quality assessment
MMES'10 Proceedings of the 2010 international conference on Mathematical models for engineering science
Channel estimation in a DMT based power-line communication system using sparse Bayesian regression
ROCOM'11/MUSP'11 Proceedings of the 11th WSEAS international conference on robotics, control and manufacturing technology, and 11th WSEAS international conference on Multimedia systems & signal processing
One-class classification with gaussian processes
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part II
ACM Transactions on Speech and Language Processing (TSLP)
Fast Algorithm and Efficient Implementation of GMM-Based Pattern Classifiers
Journal of Signal Processing Systems
Random Exploration of the Procedural Space for Single-View 3D Modeling of Buildings
International Journal of Computer Vision
Detection of hidden structures in nonstationary spike trains
Neural Computation
Diagnosing performance changes by comparing request flows
Proceedings of the 8th USENIX conference on Networked systems design and implementation
Discovering implicit constraints in design
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Face recognition from still images to video sequences: a local-feature-based framework
Journal on Image and Video Processing - Special issue on advanced video-based surveillance
Gesture avatar: a technique for operating mobile user interfaces using gestures
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
A concurrent neural module classifier for automated target recognition in SAR imagery
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
A new neural network approach for visual autonomous road following
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
The analysis of a faster algorithm for support vector machine-based classification
ICCOMP'10 Proceedings of the 14th WSEAS international conference on Computers: part of the 14th WSEAS CSCC multiconference - Volume I
Finding pre-images via evolution strategies
Applied Soft Computing
Building a side channel based disassembler
Transactions on computational science X
A static task partitioning approach for heterogeneous systems using OpenCL
CC'11/ETAPS'11 Proceedings of the 20th international conference on Compiler construction: part of the joint European conferences on theory and practice of software
Error detection in broadcast news ASR using Markov chains
LTC'09 Proceedings of the 4th conference on Human language technology: challenges for computer science and linguistics
Energy based competitive learning
Neurocomputing
What have fruits to do with technology?: the case of Orange, Blackberry and Apple
Proceedings of the International Conference on Web Intelligence, Mining and Semantics
Mobile apps: it's time to move up to CondOS
HotOS'13 Proceedings of the 13th USENIX conference on Hot topics in operating systems
Modeling and estimation of travel behaviors using bayesian network
Intelligent Decision Technologies - Special issue on design of intelligent environment
Data Collection in Wireless Sensor Networks with Mobile Elements: A Survey
ACM Transactions on Sensor Networks (TOSN)
Performance evaluation of a crop/weed discriminating microsprayer
Computers and Electronics in Agriculture
Versatile probability-based indexing for approximate similarity search
Proceedings of the Fourth International Conference on SImilarity Search and APplications
Declarative programming for agent applications
Autonomous Agents and Multi-Agent Systems
Gravitational clustering of the self-organizing map
ICANNGA'11 Proceedings of the 10th international conference on Adaptive and natural computing algorithms - Volume Part II
Combining classifier with a fuser implemented as a one layer perceptron
ACIIDS'11 Proceedings of the Third international conference on Intelligent information and database systems - Volume Part II
Regression based automatic face annotation for deformable model building
Pattern Recognition
Discriminative deep belief networks for visual data classification
Pattern Recognition
Variance based selection to improve test set performance in genetic programming
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Coding Images with Local Features
International Journal of Computer Vision
Predicting Articulated Human Motion from Spatial Processes
International Journal of Computer Vision
A dynamic programming strategy to balance exploration and exploitation in the bandit problem
Annals of Mathematics and Artificial Intelligence
Active adaptation in human-agent collaborative interaction
Journal of Intelligent Information Systems
GRAP: Grey risk assessment based on projection in ad hoc networks
Journal of Parallel and Distributed Computing
Classification of a screened data into one of two normal populations perturbed by a screening scheme
Journal of Multivariate Analysis
Variable selection in model-based discriminant analysis
Journal of Multivariate Analysis
Large scale multiple robot visual mapping with heterogeneous landmarks in semi-structured terrain
Robotics and Autonomous Systems
Analysis of air traffic track data with the autobayes synthesis system
LOPSTR'10 Proceedings of the 20th international conference on Logic-based program synthesis and transformation
Maximum margin decision surfaces for increased generalisation in evolutionary decision tree learning
EuroGP'11 Proceedings of the 14th European conference on Genetic programming
Performance analysis of improved affinity propagation algorithm for image semantic annotation
ISNN'11 Proceedings of the 8th international conference on Advances in neural networks - Volume Part II
IWINAC'11 Proceedings of the 4th international conference on Interplay between natural and artificial computation: new challenges on bioinspired applications - Volume Part II
Composite hashing with multiple information sources
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Exploiting geographical influence for collaborative point-of-interest recommendation
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Why searchers switch: understanding and predicting engine switching rationales
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
On theme location discovery for travelogue services
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Journal of Biomedical Informatics
Simulating swarm robots for collision avoidance problem based on a dynamic Bayesian network
ECAL'09 Proceedings of the 10th European conference on Advances in artificial life: Darwin meets von Neumann - Volume Part II
DOF: a local wireless information plane
Proceedings of the ACM SIGCOMM 2011 conference
Real-time classification of dance gestures from skeleton animation
SCA '11 Proceedings of the 2011 ACM SIGGRAPH/Eurographics Symposium on Computer Animation
Large-scale matrix factorization with distributed stochastic gradient descent
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
Detecting adversarial advertisements in the wild
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
A pattern discovery approach to retail fraud detection
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
Feature Selection for Gender Classification
IbPRIA'11 Proceedings of the 5th Iberian conference on Pattern recognition and image analysis
Risk estimation for hierarchical classifier
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part I
Improving the accuracy of action classification using view-dependent context information
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part II
A hybrid artificial intelligence system for assistance in remote monitoring of heart patients
HAIS'11 Proceedings of the 6th international conference on Hybrid artificial intelligent systems - Volume Part II
UMAP'11 Proceedings of the 19th international conference on User modeling, adaption, and personalization
Pervasive sensing to model political opinions in face-to-face networks
Pervasive'11 Proceedings of the 9th international conference on Pervasive computing
Developing a user recommendation engine on twitter using estimated latent topics
HCII'11 Proceedings of the 14th international conference on Human-computer interaction: design and development approaches - Volume Part I
Hybrid artificial neural networks: models, algorithms and data
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
Model selection with PLANN-CR-ARD
IWANN'11 Proceedings of the 11th international conference on Artificial neural networks conference on Advances in computational intelligence - Volume Part II
Modeling and generating moving trees from video
Proceedings of the 2011 SIGGRAPH Asia Conference
Proceedings of the 2011 SIGGRAPH Asia Conference
An automatic text comprehension classifier based on mental models and latent semantic features
i-KNOW '11 Proceedings of the 11th International Conference on Knowledge Management and Knowledge Technologies
Proceedings of the 48th Design Automation Conference
Modeling engagement dynamics in spelling learning
AIED'11 Proceedings of the 15th international conference on Artificial intelligence in education
Multimodal emotion classification in naturalistic user behavior
HCII'11 Proceedings of the 14th international conference on Human-computer interaction: towards mobile and intelligent interaction environments - Volume Part III
An information theoretic mouse trajectory measure
UAHCI'11 Proceedings of the 6th international conference on Universal access in human-computer interaction: users diversity - Volume Part II
The infinite Student's t-mixture for robust modeling
Signal Processing
Bayesian compressive sensing for cluster structured sparse signals
Signal Processing
Detecting malware domains at the upper DNS hierarchy
SEC'11 Proceedings of the 20th USENIX conference on Security
Incorporating motion data and cognitive models in IPS2
ICDHM'11 Proceedings of the Third international conference on Digital human modeling
Learning curves for Gaussian processes via numerical cubature integration
ICANN'11 Proceedings of the 21th international conference on Artificial neural networks - Volume Part I
Hand shape classification with a wrist contour sensor: development of a prototype device
Proceedings of the 13th international conference on Ubiquitous computing
Proceedings of the 13th international conference on Ubiquitous computing
PANDAA: physical arrangement detection of networked devices through ambient-sound awareness
Proceedings of the 13th international conference on Ubiquitous computing
Enhancing scalability in anomaly-based email spam filtering
Proceedings of the 8th Annual Collaboration, Electronic messaging, Anti-Abuse and Spam Conference
Evolutionary fuzzy clustering of relational data
Theoretical Computer Science
SAMT'10 Proceedings of the 5th international conference on Semantic and digital media technologies
Data-driven importance distributions for articulated tracking
EMMCVPR'11 Proceedings of the 8th international conference on Energy minimization methods in computer vision and pattern recognition
Reinforcement learning and the Bayesian control rule
AGI'11 Proceedings of the 4th international conference on Artificial general intelligence
Philosophically inspired concept acquisition for artificial general intelligence
AGI'11 Proceedings of the 4th international conference on Artificial general intelligence
On the temporal behavior of EEG recorded during real finger movement
MLDM'11 Proceedings of the 7th international conference on Machine learning and data mining in pattern recognition
Label noise-tolerant hidden Markov models for segmentation: application to ECGs
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part I
Sparse Kernel-SARSA(λ) with an eligibility trace
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part III
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part III
Relation learning: a new approach to face recognition
ACIVS'11 Proceedings of the 13th international conference on Advanced concepts for intelligent vision systems
Automatic segmentation of digitalized historical manuscripts
Multimedia Tools and Applications
Weighting Efficient Accuracy and Minimum Sensitivity for Evolving Multi-Class Classifiers
Neural Processing Letters
Clustering-based leaders' selection in multi-objective particle swarm optimisation
IDEAL'11 Proceedings of the 12th international conference on Intelligent data engineering and automated learning
Novelty detection for identifying deterioration in emergency department patients
IDEAL'11 Proceedings of the 12th international conference on Intelligent data engineering and automated learning
Browse by chunks: Topic mining and organizing on web-scale social media
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP) - Special section on ACM multimedia 2010 best paper candidates, and issue on social media
BLR-D: applying bilinear logistic regression to factored diagnosis problems
SLAML '11 Managing Large-scale Systems via the Analysis of System Logs and the Application of Machine Learning Techniques
Discriminative concept factorization for data representation
Neurocomputing
Stochastic errors vs. modeling errors in distance based phylogenetic reconstructions
WABI'11 Proceedings of the 11th international conference on Algorithms in bioinformatics
Speeding up Bayesian HMM by the four Russians method
WABI'11 Proceedings of the 11th international conference on Algorithms in bioinformatics
Multi-person localization and track assignment in overlapping camera views
DAGM'11 Proceedings of the 33rd international conference on Pattern recognition
Multi-body segmentation and motion number estimation via over-segmentation detection
ACCV'10 Proceedings of the 2010 international conference on Computer vision - Volume part II
Constraint reasoning and Kernel clustering for pattern decomposition with scaling
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Who wrote this code? identifying the authors of program binaries
ESORICS'11 Proceedings of the 16th European conference on Research in computer security
Context change detection for resource allocation in service-oriented systems
KES'11 Proceedings of the 15th international conference on Knowledge-based and intelligent information and engineering systems - Volume Part II
Towards emotional interaction: using movies to automatically learn users' emotional states
INTERACT'11 Proceedings of the 13th IFIP TC 13 international conference on Human-computer interaction - Volume Part I
Image de-noising by Bayesian regression
ICIAP'11 Proceedings of the 16th international conference on Image analysis and processing: Part I
GAVTASC'11 Proceedings of the 11th WSEAS international conference on Signal processing, computational geometry and artificial vision, and Proceedings of the 11th WSEAS international conference on Systems theory and scientific computation
GAVTASC'11 Proceedings of the 11th WSEAS international conference on Signal processing, computational geometry and artificial vision, and Proceedings of the 11th WSEAS international conference on Systems theory and scientific computation
A general system for automatic biomedical image segmentation using intensity neighborhoods
Journal of Biomedical Imaging
Multiclass sparse Bayesian regression for fMRI-based prediction
Journal of Biomedical Imaging - Special issue on Machine Learning in Medical Imaging
Probabilistic indexing of media sequences
Proceedings of the Third International Conference on Internet Multimedia Computing and Service
Modeling item selection and relevance for accurate recommendations: a bayesian approach
Proceedings of the fifth ACM conference on Recommender systems
Learning spatial relationships between objects
International Journal of Robotics Research
A Bayesian nonparametric approach to modeling motion patterns
Autonomous Robots
An improved SalBayes model with GMM
CAIP'11 Proceedings of the 14th international conference on Computer analysis of images and patterns - Volume Part II
Iterative refinement of point correspondences for 3D statistical shape models
MICCAI'11 Proceedings of the 14th international conference on Medical image computing and computer-assisted intervention - Volume Part II
Localization of the Lumbar discs using machine learning and exact probabilistic inference
MICCAI'11 Proceedings of the 14th international conference on Medical image computing and computer-assisted intervention - Volume Part III
A closed form algorithm for superresolution
ISVC'11 Proceedings of the 7th international conference on Advances in visual computing - Volume Part II
Detection and tracking faces in unconstrained color video streams
ISVC'11 Proceedings of the 7th international conference on Advances in visual computing - Volume Part II
Image set-based hand shape recognition using camera selection driven by multi-class AdaBoosting
ISVC'11 Proceedings of the 7th international conference on Advances in visual computing - Volume Part II
MICCAI'11 Proceedings of the 2011 international conference on Prostate cancer imaging: image analysis and image-guided interventions
AICI'11 Proceedings of the Third international conference on Artificial intelligence and computational intelligence - Volume Part III
Mutual information criteria for feature selection
SIMBAD'11 Proceedings of the First international conference on Similarity-based pattern recognition
Supervised segmentation of fiber tracts
SIMBAD'11 Proceedings of the First international conference on Similarity-based pattern recognition
DCE-MRI analysis using sparse adaptive representations
MLMI'11 Proceedings of the Second international conference on Machine learning in medical imaging
Segmentation of skull base tumors from MRI using a hybrid support vector machine-based method
MLMI'11 Proceedings of the Second international conference on Machine learning in medical imaging
A comparison study of inferences on graphical model for registering surface model to 3D image
MLMI'11 Proceedings of the Second international conference on Machine learning in medical imaging
Proceedings of the 4th ACM workshop on Security and artificial intelligence
International Journal of Speech Technology
A NN-based model for time series forecasting in function of energy associated of series
ICANCM'11/ICDCC'11 Proceedings of the 2011 international conference on applied, numerical and computational mathematics, and Proceedings of the 2011 international conference on Computers, digital communications and computing
Combining Bayesian Networks and Total Cost of Ownership method for supplier selection analysis
Computers and Industrial Engineering
Penalized Fisher discriminant analysis and its application to image-based morphometry
Pattern Recognition Letters
What is the basic semantic unit of Chinese language? a computational approach based on topic models
MOL'11 Proceedings of the 12th biennial conference on The mathematics of language
Gates for handling occlusion in Bayesian models of images: an initial study
KI'11 Proceedings of the 34th Annual German conference on Advances in artificial intelligence
A probabilistic framework for learning kinematic models of articulated objects
Journal of Artificial Intelligence Research
Systematic literature review of machine learning based software development effort estimation models
Information and Software Technology
WI-IAT '11 Proceedings of the 2011 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology - Volume 01
Dimensionality reduction and classification analysis on the audio section of the SEMAINE database
ACII'11 Proceedings of the 4th international conference on Affective computing and intelligent interaction - Volume Part II
Extracting urban patterns from location-based social networks
Proceedings of the 3rd ACM SIGSPATIAL International Workshop on Location-Based Social Networks
Large scale debugging of parallel tasks with AutomaDeD
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Adding structure to top-k: from items to expansions
Proceedings of the 20th ACM international conference on Information and knowledge management
A hierarchical latent topic model based on sparse coding
Neurocomputing
Future Generation Computer Systems
Audio based solutions for detecting intruders in wild areas
Signal Processing
Optimization of symbolic feature extraction for pattern classification
Signal Processing
Scene image clustering based on boosting and GMM
Proceedings of the Second Symposium on Information and Communication Technology
International Journal of Applied Mathematics and Computer Science - Computational Intelligence in Modern Control Systems
Inferring laser-scan matching uncertainty with conditional random fields
Robotics and Autonomous Systems
Finding audio-visual events in informal social gatherings
ICMI '11 Proceedings of the 13th international conference on multimodal interfaces
Virtual worlds and active learning for human detection
ICMI '11 Proceedings of the 13th international conference on multimodal interfaces
Graph-based malware detection using dynamic analysis
Journal in Computer Virology
ProbPoly: a probabilistic inductive logic programming framework with application in model checking
Proceedings of the International Workshop on Machine Learning Technologies in Software Engineering
Balancing energy, latency and accuracy for mobile sensor data classification
Proceedings of the 9th ACM Conference on Embedded Networked Sensor Systems
Journal of Signal Processing Systems
Novelty Detection with Multivariate Extreme Value Statistics
Journal of Signal Processing Systems
Trust alignment: a sine qua non of open multi-agent systems
OTM'11 Proceedings of the 2011th Confederated international conference on On the move to meaningful internet systems - Volume Part I
Analyzing emotional semantics of abstract art using low-level image features
IDA'11 Proceedings of the 10th international conference on Advances in intelligent data analysis X
Artificial neural network in FPGA for temperature prediction
NOLISP'11 Proceedings of the 5th international conference on Advances in nonlinear speech processing
Scalability analysis of ANN training algorithms with feature selection
CAEPIA'11 Proceedings of the 14th international conference on Advances in artificial intelligence: spanish association for artificial intelligence
Biclustering of expression microarray data using affinity propagation
PRIB'11 Proceedings of the 6th IAPR international conference on Pattern recognition in bioinformatics
A new soft decision tracing algorithm for binary fingerprinting codes
IWSEC'11 Proceedings of the 6th International conference on Advances in information and computer security
Hybrid fire detection using hidden Markov model and luminance map
Computers and Electrical Engineering
Editors Choice Article: Tracking highly correlated targets through statistical multiplexing
Image and Vision Computing
Progress and challenges in intelligent vehicle area networks
Communications of the ACM
Reliable telemetry in white spaces using remote attestation
Proceedings of the 27th Annual Computer Security Applications Conference
Learning hatching for pen-and-ink illustration of surfaces
ACM Transactions on Graphics (TOG)
Indoor positioning based on radio signal strength distribution modeling using mirror image method
Proceedings of the 3rd ACM SIGSPATIAL International Workshop on Indoor Spatial Awareness
A low-order model of biological neural networks
Neural Computation
Recurrent kernel machines: Computing with infinite echo state networks
Neural Computation
Theoretical Analysis of Bayesian Matrix Factorization
The Journal of Machine Learning Research
Robust Gaussian Process Regression with a Student-t Likelihood
The Journal of Machine Learning Research
Global Interactions in Random Field Models: A Potential Function Ensuring Connectedness
SIAM Journal on Imaging Sciences
Underdetermined Sparse Blind Source Separation of Nonnegative and Partially Overlapped Data
SIAM Journal on Scientific Computing
WiGEM: a learning-based approach for indoor localization
Proceedings of the Seventh COnference on emerging Networking EXperiments and Technologies
Decentralized prediction of end-to-end network performance classes
Proceedings of the Seventh COnference on emerging Networking EXperiments and Technologies
Learning to close loops from range data
International Journal of Robotics Research
A new variational Bayesian algorithm with application to human mobility pattern modeling
Statistics and Computing
Variational approximation for heteroscedastic linear models and matching pursuit algorithms
Statistics and Computing
Road Detection and Tracking from Aerial Desert Imagery
Journal of Intelligent and Robotic Systems
Visual synonyms for landmark image retrieval
Computer Vision and Image Understanding
Weighted principal component extraction with genetic algorithms
Applied Soft Computing
A prototype classifier based on gravitational search algorithm
Applied Soft Computing
A crosstalk tolerated neural segmentation methodology for Brainbow images
Proceedings of the 4th International Symposium on Applied Sciences in Biomedical and Communication Technologies
Pedestrian-movement prediction based on mixed Markov-chain model
Proceedings of the 19th ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Summarizing figures, tables, and algorithms in scientific publications to augment search results
ACM Transactions on Information Systems (TOIS)
BLR-D: applying bilinear logistic regression to factored diagnosis problems
ACM SIGOPS Operating Systems Review
Probabilistic management of OCR data using an RDBMS
Proceedings of the VLDB Endowment
A type theory for probability density functions
POPL '12 Proceedings of the 39th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Three-way analysis of structural health monitoring data
Neurocomputing
A possibilistic clustering approach toward generative mixture models
Pattern Recognition
Visual tracking by fusing multiple cues with context-sensitive reliabilities
Pattern Recognition
Fast Approximate Energy Minimization with Label Costs
International Journal of Computer Vision
The Visual Extent of an Object
International Journal of Computer Vision
On context-aware co-clustering with metadata support
Journal of Intelligent Information Systems
Leveraging 3D City Models for Rotation Invariant Place-of-Interest Recognition
International Journal of Computer Vision
Privacy-preserving reconstruction of multidimensional data maps in vehicular participatory sensing
EWSN'10 Proceedings of the 7th European conference on Wireless Sensor Networks
Using rotation forest for protein fold prediction problem: an empirical study
EvoBIO'10 Proceedings of the 8th European conference on Evolutionary Computation, Machine Learning and Data Mining in Bioinformatics
RegMT system for machine translation, system combination, and evaluation
WMT '11 Proceedings of the Sixth Workshop on Statistical Machine Translation
From Context to Distance: Learning Dissimilarity for Categorical Data Clustering
ACM Transactions on Knowledge Discovery from Data (TKDD)
ACM Transactions on Interactive Intelligent Systems (TiiS) - Special Issue on Affective Interaction in Natural Environments
Risk-based security decisions under uncertainty
Proceedings of the second ACM conference on Data and Application Security and Privacy
Seller Strategies for Differentiation in Highly Competitive Online Auction Markets
Journal of Management Information Systems
Audio visual speech recognition in noisy visual environments
Proceedings of the 4th International Conference on PErvasive Technologies Related to Assistive Environments
Cost effective depression patient thought record categorization via self-taught learning
Proceedings of the 4th International Conference on PErvasive Technologies Related to Assistive Environments
A supervised clustering approach for fMRI-based inference of brain states
Pattern Recognition
Simultaneous clustering and classification over cluster structure representation
Pattern Recognition
A new framework for dissimilarity and similarity learning
PAKDD'10 Proceedings of the 14th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining - Volume Part II
Linear text segmentation using affinity propagation
EMNLP '11 Proceedings of the Conference on Empirical Methods in Natural Language Processing
A fast re-scoring strategy to capture long-distance dependencies
EMNLP '11 Proceedings of the Conference on Empirical Methods in Natural Language Processing
Unsupervised semantic role induction with graph partitioning
EMNLP '11 Proceedings of the Conference on Empirical Methods in Natural Language Processing
Trial pruning based on genetic algorithm for single-trial EEG classification
Computers and Electrical Engineering
Dynamic fixed-point arithmetic design of embedded SVM-Based speaker identification system
ISNN'10 Proceedings of the 7th international conference on Advances in Neural Networks - Volume Part II
Fusion of single view soft k-NN classifiers for multicamera human action recognition
HAIS'10 Proceedings of the 5th international conference on Hybrid Artificial Intelligence Systems - Volume Part II
A framework for optimization under limited information
Proceedings of the 5th International ICST Conference on Performance Evaluation Methodologies and Tools
Advances in detecting parkinson's disease
ICMB'10 Proceedings of the Second international conference on Medical Biometrics
Unsupervised clustering of people from 'skeleton' data
HRI '12 Proceedings of the seventh annual ACM/IEEE international conference on Human-Robot Interaction
Energy-Based Geometric Multi-model Fitting
International Journal of Computer Vision
The Ising decoder: reading out the activity of large neural ensembles
Journal of Computational Neuroscience
Formal testing for separation assurance
Annals of Mathematics and Artificial Intelligence
Regularized orthogonal linear discriminant analysis
Pattern Recognition
Algorithms for probabilistic latent tensor factorization
Signal Processing
Computers in Biology and Medicine
Multiple feature extraction and hierarchical classifiers for emotions recognition
COST'09 Proceedings of the Second international conference on Development of Multimodal Interfaces: active Listening and Synchrony
AMR'08 Proceedings of the 6th international conference on Adaptive Multimedia Retrieval: identifying, Summarizing, and Recommending Image and Music
Transferring knowledge of activity recognition across sensor networks
Pervasive'10 Proceedings of the 8th international conference on Pervasive Computing
Techniques for knowledge acquisition in dynamically changing environments
ACM Transactions on Autonomous and Adaptive Systems (TAAS) - Special section on formal methods in pervasive computing, pervasive adaptation, and self-adaptive systems: Models and algorithms
Mining Travel Patterns from Geotagged Photos
ACM Transactions on Intelligent Systems and Technology (TIST)
Combining gene expression and interaction network data to improve kidney lesion score prediction
International Journal of Bioinformatics Research and Applications
Vector space formulation of probabilistic finite state automata
Journal of Computer and System Sciences
Identifying a small set of marker genes using minimum expected cost of misclassification
Artificial Intelligence in Medicine
A latent model for collaborative filtering
International Journal of Approximate Reasoning
Margin preserving projection for image set based face recognition
ICONIP'11 Proceedings of the 18th international conference on Neural Information Processing - Volume Part II
Towards non invasive diagnosis of scoliosis using semi-supervised learning approach
ICIAR'10 Proceedings of the 7th international conference on Image Analysis and Recognition - Volume Part II
Color texture analysis for tear film classification: a preliminary study
ICIAR'10 Proceedings of the 7th international conference on Image Analysis and Recognition - Volume Part II
PAKDD'10 Proceedings of the 14th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining - Volume Part I
Classification of user postures with capacitive proximity sensors in AAL-Environments
AmI'11 Proceedings of the Second international conference on Ambient Intelligence
The dissimilarity representation for structural pattern recognition
CIARP'11 Proceedings of the 16th Iberoamerican Congress conference on Progress in Pattern Recognition, Image Analysis, Computer Vision, and Applications
A new clustering algorithm based on k-means using a line segment as prototype
CIARP'11 Proceedings of the 16th Iberoamerican Congress conference on Progress in Pattern Recognition, Image Analysis, Computer Vision, and Applications
Gradual land cover change detection based on multitemporal fraction images
Pattern Recognition
Supervised scale-invariant segmentation (and detection)
SSVM'11 Proceedings of the Third international conference on Scale Space and Variational Methods in Computer Vision
ACC'11/MMACTEE'11 Proceedings of the 13th IASME/WSEAS international conference on Mathematical Methods and Computational Techniques in Electrical Engineering conference on Applied Computing
Linguistic classification pattern extraction for numeric data
AIKED'12 Proceedings of the 11th WSEAS international conference on Artificial Intelligence, Knowledge Engineering and Data Bases
Terrorist organization behavior prediction algorithm based on context subspace
ADMA'11 Proceedings of the 7th international conference on Advanced Data Mining and Applications - Volume Part II
Predicting human contacts in mobile social networks using supervised learning
Proceedings of the Fourth Annual Workshop on Simplifying Complex Networks for Practitioners
Integrating Generative and Discriminative Character-Based Models for Chinese Word Segmentation
ACM Transactions on Asian Language Information Processing (TALIP)
A nonparametric Bayesian approach toward robot learning by demonstration
Robotics and Autonomous Systems
mPuff: automated detection of cigarette smoking puffs from respiration measurements
Proceedings of the 11th international conference on Information Processing in Sensor Networks
Stochastic perturbation methods for spike-timing-dependent plasticity
Neural Computation
Structured Learning and Prediction in Computer Vision
Foundations and Trends® in Computer Graphics and Vision
Foundations and Trends® in Computer Graphics and Vision
PSL'11 Proceedings of the First IAPR TC3 conference on Partially Supervised Learning
On the utility of partially labeled data for classification of microarray data
PSL'11 Proceedings of the First IAPR TC3 conference on Partially Supervised Learning
Gaussian process for recommender systems
KSEM'11 Proceedings of the 5th international conference on Knowledge Science, Engineering and Management
Constructing the shortest ECOC for fast multi-classification
KSEM'11 Proceedings of the 5th international conference on Knowledge Science, Engineering and Management
Age estimation using bayesian process
PAKDD'11 Proceedings of the 15th international conference on New Frontiers in Applied Data Mining
Closed-Form EM for sparse coding and its application to source separation
LVA/ICA'12 Proceedings of the 10th international conference on Latent Variable Analysis and Signal Separation
Predicting query performance directly from score distributions
AIRS'11 Proceedings of the 7th Asia conference on Information Retrieval Technology
Information Sciences: an International Journal
Querying source code with natural language
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Tune in to your emotions: a robust personalized affective music player
User Modeling and User-Adapted Interaction
Non-uniform Deblurring for Shaken Images
International Journal of Computer Vision
Dimensionality reduction via compressive sensing
Pattern Recognition Letters
Registering sets of points using Bayesian regression
Neurocomputing
Applying artificial neural networks for face recognition
Advances in Artificial Neural Systems
Computing approximate Nash Equilibria and robust best-responses using sampling
Journal of Artificial Intelligence Research
A Bayesian hyperparameter inference for radon-transformed image reconstruction
Journal of Biomedical Imaging - Special issue on Machine Learning in Medical Imaging
ACA'12 Proceedings of the 11th international conference on Applications of Electrical and Computer Engineering
Surface creation on unstructured point sets using neural networks
Computer-Aided Design
Motion chain: a webcam game for crowdsourcing gesture collection
CHI '12 Extended Abstracts on Human Factors in Computing Systems
Continuous distributed counting for non-monotonic streams
PODS '12 Proceedings of the 31st symposium on Principles of Database Systems
Estimation algorithm of machine operational intention by bayes filtering with self-organizing map
Advances in Human-Computer Interaction
Large-scale machine learning at twitter
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
Identifying Gene Pathways Associated with Cancer Characteristics via Sparse Statistical Methods
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Exploiting spatiotemporal and device contexts for energy-efficient mobile embedded systems
Proceedings of the 49th Annual Design Automation Conference
Artificial Intelligence in Medicine
Learning from others: Exchange of classification rules in intelligent distributed systems
Artificial Intelligence
EA-tracer: identifying traceability links between code aspects and early aspects
Proceedings of the 27th Annual ACM Symposium on Applied Computing
A quantitative evaluation of techniques for detection of abnormal change events in blogs.
Proceedings of the 12th ACM/IEEE-CS joint conference on Digital Libraries
Similar researcher search in academic environments
Proceedings of the 12th ACM/IEEE-CS joint conference on Digital Libraries
Evolutionary Shallow Natural Language Parsing
Computational Intelligence
An overview of the use of neural networks for data mining tasks
Wiley Interdisciplinary Reviews: Data Mining and Knowledge Discovery
Sensor network data fault detection with maximum a posteriori selection and bayesian modeling
ACM Transactions on Sensor Networks (TOSN)
Towards Practical Identification of HF RFID Devices
ACM Transactions on Information and System Security (TISSEC)
Review article: Max-margin Non-negative Matrix Factorization
Image and Vision Computing
Editors Choice Article: I2VM: Incremental import vector machines
Image and Vision Computing
Geometric graph comparison from an alignment viewpoint
Pattern Recognition
Diffusion maps for the description of meteorological data
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
Hybrid multi-objective machine learning classification in liver transplantation
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part I
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part II
Drift detection and model selection algorithms: concept and experimental evaluation
HAIS'12 Proceedings of the 7th international conference on Hybrid Artificial Intelligent Systems - Volume Part II
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part II
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part II
Selecting representative prototypes for prediction the oxygen activity in electric arc furnace
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part II
Mathematical and Computer Modelling: An International Journal
Automatic foldering of email messages: a combination approach
ECIR'12 Proceedings of the 34th European conference on Advances in Information Retrieval
Extended expectation maximization for inferring score distributions
ECIR'12 Proceedings of the 34th European conference on Advances in Information Retrieval
Dynamic state and parameter estimation applied to neuromorphic systems
Neural Computation
A reservoir-driven non-stationary hidden Markov model
Pattern Recognition
Finding optimal probabilistic generators for XML collections
Proceedings of the 15th International Conference on Database Theory
Mathematical and Computer Modelling: An International Journal
Improving performance of topic models by variable grouping
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Unsupervised learning of patterns in data streams using compression and edit distance
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
A fast dual projected Newton method for l1-regularized least squares
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
On trivial solution and scale transfer problems in graph regularized NMF
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Learning driving behavior by timed syntactic pattern recognition
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Modeling multivariate spatio-temporal remote sensing data with large gaps
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Unsupervised modeling of dialog acts in asynchronous conversations
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Motor simulation via coupled internal models using sequential Monte Carlo
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Survey: Subspace methods for face recognition
Computer Science Review
Inverse matrix-free incremental proximal support vector machine
Decision Support Systems
You are facing the Mona Lisa: spot localization using PHY layer information
Proceedings of the 10th international conference on Mobile systems, applications, and services
Video-driven state-aware facial animation
Computer Animation and Virtual Worlds
Video Behaviour Mining Using a Dynamic Topic Model
International Journal of Computer Vision
Object Recognition by Sequential Figure-Ground Ranking
International Journal of Computer Vision
2D Articulated Human Pose Estimation and Retrieval in (Almost) Unconstrained Still Images
International Journal of Computer Vision
Sentiment detection with auxiliary data
Information Retrieval
A feature selection-based framework for human activity recognition using wearable multimodal sensors
Proceedings of the 6th International Conference on Body Area Networks
iVisClustering: An Interactive Visual Document Clustering via Topic Modeling
Computer Graphics Forum
Direct posterior confidence for out-of-vocabulary spoken term detection
ACM Transactions on Information Systems (TOIS)
Two-cornered learning classifier systems for pattern generation and classification
Proceedings of the 14th annual conference on Genetic and evolutionary computation
Power-aware performance increase via core/uncore reinforcement control for chip-multiprocessors
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
Histology image analysis for carcinoma detection and grading
Computer Methods and Programs in Biomedicine
Technological research plan for active ageing
Information Systems Frontiers
Evaluation of clustering algorithms for word sense disambiguation
International Journal of Data Analysis Techniques and Strategies
Active learning with multiple classifiers for multimedia indexing
Multimedia Tools and Applications
Multi-view clustering using mixture models in subspace projections
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
Aggregating web offers to determine product prices
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
Overlapping community detection via bounded nonnegative matrix tri-factorization
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
Estimating entity importance via counting set covers
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
DAGger: clustering correlated uncertain data (to predict asset failure in energy networks)
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
A unified framework for document clustering with dual supervision
ACM SIGAPP Applied Computing Review
Parametric identification of temporal properties
RV'11 Proceedings of the Second international conference on Runtime verification
Value function approximation through sparse bayesian modeling
EWRL'11 Proceedings of the 9th European conference on Recent Advances in Reinforcement Learning
Learning 3d AAM fitting with kernel methods
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part I
Semantic social network analysis with text corpora
PAKDD'12 Proceedings of the 16th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining - Volume Part I
A noise-detection based AdaBoost algorithm for mislabeled data
Pattern Recognition
Query strategies for evading convex-inducing classifiers
The Journal of Machine Learning Research
Review of statistical network analysis: models, algorithms, and software
Statistical Analysis and Data Mining
Kernels for Vector-Valued Functions: A Review
Foundations and Trends® in Machine Learning
Journal of Cognitive Neuroscience
Expert Systems: The Journal of Knowledge Engineering
Modeling higher-order term dependencies in information retrieval using query hypergraphs
SIGIR '12 Proceedings of the 35th international ACM SIGIR conference on Research and development in information retrieval
Centaur: locating devices in an office environment
Proceedings of the 18th annual international conference on Mobile computing and networking
Regularization in retrieval-driven classification of clustered microcalcifications for breast cancer
Journal of Biomedical Imaging - Special issue on Advances in Computer-Aided Detection and Diagnosis
A system for behavior prediction based on neural signals
Neurocomputing
Localized graph-based feature selection for clustering
ICIAR'12 Proceedings of the 9th international conference on Image Analysis and Recognition - Volume Part I
Segmentation and detection of colorectal polyps using local polynomial approximation
ICIAR'12 Proceedings of the 9th international conference on Image Analysis and Recognition - Volume Part II
Cervical cell classification based exclusively on nucleus features
ICIAR'12 Proceedings of the 9th international conference on Image Analysis and Recognition - Volume Part II
SSDBM'12 Proceedings of the 24th international conference on Scientific and Statistical Database Management
Improving the Prediction of Clinical Outcomes from Genomic Data Using Multiresolution Analysis
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Robust Bayesian Clustering for Replicated Gene Expression Data
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Discovering K web user groups with specific aspect interests
MLDM'12 Proceedings of the 8th international conference on Machine Learning and Data Mining in Pattern Recognition
MLDM'12 Proceedings of the 8th international conference on Machine Learning and Data Mining in Pattern Recognition
A predictive speller controlled by a brain-computer interface based on motor imagery
ACM Transactions on Computer-Human Interaction (TOCHI)
Multi-polarized HRRP classification by SVM ensemble
IScIDE'11 Proceedings of the Second Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
ISNN'12 Proceedings of the 9th international conference on Advances in Neural Networks - Volume Part I
Designing Model Based Classifiers by Emphasizing Soft Targets
Fundamenta Informaticae - Advances in Artificial Intelligence and Applications
Automatic stylistic manga layout
ACM Transactions on Graphics (TOG) - Proceedings of ACM SIGGRAPH Asia 2012
Understanding the semantic structure of human fMRI brain recordings with formal concept analysis
ICFCA'12 Proceedings of the 10th international conference on Formal Concept Analysis
Greedy unsupervised multiple kernel learning
SETN'12 Proceedings of the 7th Hellenic conference on Artificial Intelligence: theories and applications
A unified framework for modeling and predicting going-out behavior
Pervasive'12 Proceedings of the 10th international conference on Pervasive Computing
Time invariant gesture recognition by modelling body posture space
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
Real-time visual tracking via online weighted multiple instance learning
Pattern Recognition
Adaptive quantization using piecewise companding and scaling for Gaussian mixture
Journal of Visual Communication and Image Representation
Journal of Biomedical Informatics
SAPSM: Smart adaptive 802.11 PSM for smartphones
Proceedings of the 2012 ACM Conference on Ubiquitous Computing
Online pose classification and walking speed estimation using handheld devices
Proceedings of the 2012 ACM Conference on Ubiquitous Computing
Proceedings of the 2012 ACM Conference on Ubiquitous Computing
BodyScope: a wearable acoustic sensor for activity recognition
Proceedings of the 2012 ACM Conference on Ubiquitous Computing
Automatically characterizing places with opportunistic crowdsensing using smartphones
Proceedings of the 2012 ACM Conference on Ubiquitous Computing
Improving location prediction services for new users with probabilistic latent semantic analysis
Proceedings of the 2012 ACM Conference on Ubiquitous Computing
MaSiF: machine learning guided auto-tuning of parallel skeletons
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
Transactional auto scaler: elastic scaling of in-memory transactional data grids
Proceedings of the 9th international conference on Autonomic computing
Object recognition using laser range finder and machine learning techniques
Robotics and Computer-Integrated Manufacturing
Robust pose invariant face recognition using coupled latent space discriminant analysis
Computer Vision and Image Understanding
A novel framework for motion segmentation and tracking by clustering incomplete trajectories
Computer Vision and Image Understanding
On physical-layer identification of wireless devices
ACM Computing Surveys (CSUR)
Personality and patterns of Facebook usage
Proceedings of the 3rd Annual ACM Web Science Conference
Does more data always yield better translations?
EACL '12 Proceedings of the 13th Conference of the European Chapter of the Association for Computational Linguistics
Image statistics for clustering paintings according to their visual appearance
Computational Aesthetics'09 Proceedings of the Fifth Eurographics conference on Computational Aesthetics in Graphics, Visualization and Imaging
Computer Speech and Language
Improving malware classification: bridging the static/dynamic gap
Proceedings of the 5th ACM workshop on Security and artificial intelligence
For human eyes only: security and usability evaluation
Proceedings of the 2012 ACM workshop on Privacy in the electronic society
Mind the gap: learning to choose gaps for question generation
NAACL HLT '12 Proceedings of the 2012 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies
Using probabilistic generative models for ranking risks of Android apps
Proceedings of the 2012 ACM conference on Computer and communications security
Proceedings of the ACM Conference on Bioinformatics, Computational Biology and Biomedicine
Real-time shading with filtered importance sampling
EGSR'08 Proceedings of the Nineteenth Eurographics conference on Rendering
Preserving the Khmer smile: classifying and restoring the faces of Bayon
VAST'11 Proceedings of the 12th International conference on Virtual Reality, Archaeology and Cultural Heritage
Maximizing benefits from crowdsourced data
Computational & Mathematical Organization Theory
Bayesian Robust PCA of Incomplete Data
Neural Processing Letters
Transfer Learning from Unlabeled Data via Neural Networks
Neural Processing Letters
Upper-bound estimates for classifiers based on a dissimilarity function
Cybernetics and Systems Analysis
Uncovering spatial topology represented by rat hippocampal population neuronal codes
Journal of Computational Neuroscience
The effect of dendritic voltage-gated conductances on the neuronal impedance: a quantitative model
Journal of Computational Neuroscience
Wiley Interdisciplinary Reviews: Data Mining and Knowledge Discovery
The active geometric shape model: A new robust deformable shape model and its applications
Computer Vision and Image Understanding
Audio-visual robot command recognition: D-META'12 grand challenge
Proceedings of the 14th ACM international conference on Multimodal interaction
Multiple classifier combination using reject options and markov fusion networks
Proceedings of the 14th ACM international conference on Multimodal interaction
On the feasibility of user de-anonymization from shared mobile sensor data
Proceedings of the Third International Workshop on Sensing Applications on Mobile Phones
Combining classifiers under probabilistic models: experimental comparative analysis of methods
Expert Systems: The Journal of Knowledge Engineering
Domain specific search in indian languages
Proceedings of the first workshop on Information and knowledge management for developing region
Smaller alignment models for better translations: unsupervised word alignment with the l0-norm
ACL '12 Proceedings of the 50th Annual Meeting of the Association for Computational Linguistics: Long Papers - Volume 1
Semantic parsing with Bayesian tree transducers
ACL '12 Proceedings of the 50th Annual Meeting of the Association for Computational Linguistics: Long Papers - Volume 1
Exploring the relationship between categorical and dimensional emotion semantics of music
Proceedings of the second international ACM workshop on Music information retrieval with user-centered and multimodal strategies
Generative goal-driven user simulation for dialog management
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
A weakly supervised model for sentence-level semantic orientation analysis with multiple experts
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
An "AI readability" formula for French as a foreign language
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
Learning lexicon models from search logs for query expansion
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
Domain adaptation for coreference resolution: an adaptive ensemble approach
EMNLP-CoNLL '12 Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning
An unsupervised approach to user simulation: toward self-improving dialog systems
SIGDIAL '12 Proceedings of the 13th Annual Meeting of the Special Interest Group on Discourse and Dialogue
Exploiting machine-transcribed dialog corpus to improve multiple dialog states tracking methods
SIGDIAL '12 Proceedings of the 13th Annual Meeting of the Special Interest Group on Discourse and Dialogue
The acoustic emotion gaussians model for emotion-based music annotation and retrieval
Proceedings of the 20th ACM international conference on Multimedia
State of the Art Report on Video-Based Graphics and Video Visualization
Computer Graphics Forum
Computation in sofic quantum dynamical systems
UC'07 Proceedings of the 6th international conference on Unconventional Computation
Robust tensor classifiers for color object recognition
ICIAR'07 Proceedings of the 4th international conference on Image Analysis and Recognition
LogUCB: an explore-exploit algorithm for comments recommendation
Proceedings of the 21st ACM international conference on Information and knowledge management
Constructing test collections by inferring document relevance via extracted relevant information
Proceedings of the 21st ACM international conference on Information and knowledge management
A model-based approach for RFID data stream cleansing
Proceedings of the 21st ACM international conference on Information and knowledge management
A novel probabilistic feature selection method for text classification
Knowledge-Based Systems
Extracting more information from EEG recordings for a better description of sleep
Computer Methods and Programs in Biomedicine
A variational Bayesian approach to robust sensor fusion based on Student-t distribution
Information Sciences: an International Journal
Exploiting latent relevance for relational learning of ubiquitous things
Proceedings of the 21st ACM international conference on Information and knowledge management
A probabilistic approach to mining geospatial knowledge from social annotations
Proceedings of the 21st ACM international conference on Information and knowledge management
From sBoW to dCoT marginalized encoders for text representation
Proceedings of the 21st ACM international conference on Information and knowledge management
Fast top-k similarity queries via matrix compression
Proceedings of the 21st ACM international conference on Information and knowledge management
Machine Learning Methods For Detecting Patterns Of Management Fraud
Computational Intelligence
Two-Finger Gestures for 6DOF Manipulation of 3D Objects
Computer Graphics Forum
Semi-supervised Mesh Segmentation and Labeling
Computer Graphics Forum
Biologically inspired task oriented gist model for scene classification
Computer Vision and Image Understanding
The quest for runware: on compositional, executable and intuitive models
Software and Systems Modeling (SoSyM)
Multiple-instance learning as a classifier combining problem
Pattern Recognition
A minimax probabilistic approach to feature transformation for multi-class data
Applied Soft Computing
A modification of the k-means method for quasi-unsupervised learning
Knowledge-Based Systems
PCA-based high-dimensional noisy data clustering via control of decision errors
Knowledge-Based Systems
A Bayesian active learning framework for a two-class classification problem
MUSCLE'11 Proceedings of the 2011 international conference on Computational Intelligence for Multimedia Understanding
Sparse coding and dictionary learning for symmetric positive definite matrices: a kernel approach
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part II
Approximate gaussian mixtures for large scale vocabularies
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part III
Discriminative bayesian active shape models
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part III
Patch complexity, finite pixel correlations and optimal denoising
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part V
A generative model for online depth fusion
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part V
N-tuple color segmentation for multi-view silhouette extraction
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part V
Bayesian blind deconvolution with general sparse image priors
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part VI
Local higher-order statistics (LHS) for texture categorization and facial analysis
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part VII
Incremental kernel ridge regression for the prediction of soft tissue deformations
MICCAI'12 Proceedings of the 15th international conference on Medical Image Computing and Computer-Assisted Intervention - Volume Part I
Deriving statistical significance maps for SVM based image classification and group comparisons
MICCAI'12 Proceedings of the 15th international conference on Medical Image Computing and Computer-Assisted Intervention - Volume Part I
Measuring image distances via embedding in a semantic manifold
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part IV
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part IV
A pseudo-boolean set covering machine
CP'12 Proceedings of the 18th international conference on Principles and Practice of Constraint Programming
SUM'12 Proceedings of the 6th international conference on Scalable Uncertainty Management
Bayesian network classifiers with reduced precision parameters
ECML PKDD'12 Proceedings of the 2012 European conference on Machine Learning and Knowledge Discovery in Databases - Volume Part I
Combining subjective probabilities and data in training markov logic networks
ECML PKDD'12 Proceedings of the 2012 European conference on Machine Learning and Knowledge Discovery in Databases - Volume Part I
WikiSent: weakly supervised sentiment analysis through extractive summarization with wikipedia
ECML PKDD'12 Proceedings of the 2012 European conference on Machine Learning and Knowledge Discovery in Databases - Volume Part I
A multivariate approach to estimate complexity of FMRI time series
ICANN'12 Proceedings of the 22nd international conference on Artificial Neural Networks and Machine Learning - Volume Part II
Tikhonov-Type regularization for restricted boltzmann machines
ICANN'12 Proceedings of the 22nd international conference on Artificial Neural Networks and Machine Learning - Volume Part I
CMS'12 Proceedings of the 13th IFIP TC 6/TC 11 international conference on Communications and Multimedia Security
On graph-associated matrices and their eigenvalues for optical character recognition
ANNPR'12 Proceedings of the 5th INNS IAPR TC 3 GIRPR conference on Artificial Neural Networks in Pattern Recognition
Robustness of a CAD system on digitized mammograms
ANNPR'12 Proceedings of the 5th INNS IAPR TC 3 GIRPR conference on Artificial Neural Networks in Pattern Recognition
On instance selection in audio based emotion recognition
ANNPR'12 Proceedings of the 5th INNS IAPR TC 3 GIRPR conference on Artificial Neural Networks in Pattern Recognition
Controlling overfitting in symbolic regression based on a bias/variance error decomposition
PPSN'12 Proceedings of the 12th international conference on Parallel Problem Solving from Nature - Volume Part I
Towards automatic structure analysis of digital musical content
AIMSA'12 Proceedings of the 15th international conference on Artificial Intelligence: methodology, systems, and applications
Model building for dynamic multi-tenant provider environments
ACM SIGOPS Operating Systems Review
Visualizing summary statistics and uncertainty
EuroVis'10 Proceedings of the 12th Eurographics / IEEE - VGTC conference on Visualization
Perceptual characterization of motion evoked by sounds for synthesis control purposes
ACM Transactions on Applied Perception (TAP)
A finite state machine-based characterization of building entities for monitoring and control
BuildSys '12 Proceedings of the Fourth ACM Workshop on Embedded Sensing Systems for Energy-Efficiency in Buildings
Bayesian combination of sparse and non-sparse priors in image super resolution
Digital Signal Processing
Improvement of accuracy in a sound synthesis method using Evolutionary Product Unit Networks
Expert Systems with Applications: An International Journal
A mixed autoregressive hidden-markov-chain model applied to people's movements
Proceedings of the 20th International Conference on Advances in Geographic Information Systems
Computational Optimization and Applications
EA-Analyzer: automating conflict detection in a large set of textual aspect-oriented requirements
Automated Software Engineering
Density estimation with minimization of U-divergence
Machine Learning
Efficiently learning the preferences of people
Machine Learning
IT incident management by analyzing incident relations
ICSOC'12 Proceedings of the 10th international conference on Service-Oriented Computing
BiCWS: mining cognitive differences from bilingual web search results
WISE'12 Proceedings of the 13th international conference on Web Information Systems Engineering
Design of distribution independent noise filters with online PDF estimation
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part I
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part III
Bayesian variable selection in neural networks for short-term meteorological prediction
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part IV
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part IV
Matrix pseudoinversion for image neural processing
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part V
Quantifying homogeneity of instance sets for algorithm configuration
LION'12 Proceedings of the 6th international conference on Learning and Intelligent Optimization
Applying piecewise approximation in perceptron training of conditional random fields
IDA'12 Proceedings of the 11th international conference on Advances in Intelligent Data Analysis
Colour matching function learning
SSPR'12/SPR'12 Proceedings of the 2012 Joint IAPR international conference on Structural, Syntactic, and Statistical Pattern Recognition
Diagnose the premalignant pancreatic cancer using high dimensional linear machine
PRIB'12 Proceedings of the 7th IAPR international conference on Pattern Recognition in Bioinformatics
Estimating neural firing rates: an empirical bayes approach
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part II
Improving Logitboost with prior knowledge
Information Fusion
Challenges in post-silicon validation of high-speed I/O links
Proceedings of the International Conference on Computer-Aided Design
Inference in probabilistic logic programs with continuous random variables
Theory and Practice of Logic Programming
Behavioral Implicit Communication (BIC): Communicating with Smart Environments
International Journal of Ambient Computing and Intelligence
ACM SIGAPP Applied Computing Review
Dynamical movement primitives: Learning attractor models for motor behaviors
Neural Computation
Proceedings of the sixth ACM international conference on Web search and data mining
The use of artificial-intelligence-based ensembles for intrusion detection: a review
Applied Computational Intelligence and Soft Computing
Enabling building energy auditing using adapted occupancy models
Proceedings of the Third ACM Workshop on Embedded Sensing Systems for Energy-Efficiency in Buildings
Scientific and Technical Information Processing
International Journal of Computer Vision
An identity for kernel ridge regression
Theoretical Computer Science
Handwritten Data Clustering Using Agents Competition in Networks
Journal of Mathematical Imaging and Vision
On Machine Symbol Grounding and Optimization
International Journal of Cognitive Informatics and Natural Intelligence
Fusion of local features for face recognition by multiple least square solutions
CCBR'12 Proceedings of the 7th Chinese conference on Biometric Recognition
A performance improvement method for existing fingerprint systems
CCBR'12 Proceedings of the 7th Chinese conference on Biometric Recognition
A novel approach to ball detection for humanoid robot soccer
AI'12 Proceedings of the 25th Australasian joint conference on Advances in Artificial Intelligence
Adaptive collaborative environment for vascular problems telediagnosis
IWAAL'12 Proceedings of the 4th international conference on Ambient Assisted Living and Home Care
Personalized reading support for second-language web documents
ACM Transactions on Intelligent Systems and Technology (TIST) - Special section on agent communication, trust in multiagent systems, intelligent tutoring and coaching systems
Fuzzy Cluster Validation Based on Fuzzy PCA-Guided Procedure
International Journal of Fuzzy System Applications
Learning Fuzzy Network Using Sequence Bound Global Particle Swarm Optimizer
International Journal of Fuzzy System Applications
International Journal of Organizational and Collective Intelligence
International Journal of Systems and Service-Oriented Engineering
International Journal of Systems and Service-Oriented Engineering
Opcode sequences as representation of executables for data-mining-based unknown malware detection
Information Sciences: an International Journal
Information Sciences: an International Journal
Computer Methods and Programs in Biomedicine
Toward the scalability of neural networks through feature selection
Expert Systems with Applications: An International Journal
A framework for optimization under limited information
Journal of Global Optimization
From dynamic movement primitives to associative skill memories
Robotics and Autonomous Systems
A unified approach to background adaptation and initialization in public scenes
Pattern Recognition
Simple decision forests for multi-relational classification
Decision Support Systems
Bias equalizer for binary probabilistic fingerprinting codes
IH'12 Proceedings of the 14th international conference on Information Hiding
Spatially correlated nonnegative matrix factorization for image analysis
IScIDE'12 Proceedings of the third Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
Learning attribute relation in attribute-based zero-shot classification
IScIDE'12 Proceedings of the third Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
Distributed constraint optimisation for resource limited sensor networks
Science of Computer Programming
Efficient template attacks based on probabilistic multi-class support vector machines
CARDIS'12 Proceedings of the 11th international conference on Smart Card Research and Advanced Applications
A Probabilistic Approach to Structural Change Prediction in Evolving Social Networks
ASONAM '12 Proceedings of the 2012 International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2012)
ASONAM '12 Proceedings of the 2012 International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2012)
Learning the Strength of the Factors Influencing User Behavior in Online Social Networks
ASONAM '12 Proceedings of the 2012 International Conference on Advances in Social Networks Analysis and Mining (ASONAM 2012)
Learning User Preference Patterns for Top-N Recommendations
WI-IAT '12 Proceedings of the The 2012 IEEE/WIC/ACM International Joint Conferences on Web Intelligence and Intelligent Agent Technology - Volume 01
Parametric optimization of reconfigurable designs using machine learning
ARC'13 Proceedings of the 9th international conference on Reconfigurable Computing: architectures, tools, and applications
Open-Set classification for automated genre identification
ECIR'13 Proceedings of the 35th European conference on Advances in Information Retrieval
Inference of hidden variables in systems of differential equations with genetic programming
Genetic Programming and Evolvable Machines
Affective touch gesture recognition for a furry zoomorphic machine
Proceedings of the 7th International Conference on Tangible, Embedded and Embodied Interaction
Proceedings of the 12th international conference on Information processing in sensor networks
Learning parsimonious dendritic classifiers
Neurocomputing
Clustering documents with labeled and unlabeled documents using fuzzy semi-Kmeans
Fuzzy Sets and Systems
Journal of Computational Physics
Data-based stability analysis of a class of nonlinear discrete-time systems
Information Sciences: an International Journal
On-line bayesian context change detection in web service systems
Proceedings of the 2013 international workshop on Hot topics in cloud services
Information preservation in statistical privacy and bayesian estimation of unattributed histograms
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
MRI-based knee image for personal identification
International Journal of Biometrics
A sampling approach for protein backbone fragment conformations
International Journal of Data Mining and Bioinformatics
NuActiv: recognizing unseen new activities using semantic attribute-based learning
Proceeding of the 11th annual international conference on Mobile systems, applications, and services
Mining user behaviours: a study of check-in patterns in location based social networks
Proceedings of the 5th Annual ACM Web Science Conference
An automatic input-sensitive approach for heterogeneous task partitioning
Proceedings of the 27th international ACM conference on International conference on supercomputing
Simulation of database-valued markov chains using SimSQL
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
EBM: an entropy-based model to infer social strength from spatiotemporal data
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
CarSafe app: alerting drowsy and distracted drivers using dual cameras on smartphones
Proceeding of the 11th annual international conference on Mobile systems, applications, and services
Adaptive artificial datasets through learning classifier systems for classification tasks
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
Finding the most descriptive substructures in graphs with discrete and numeric labels
NFMCP'12 Proceedings of the First international conference on New Frontiers in Mining Complex Patterns
A hidden Markov model for collaborative filtering
MIS Quarterly
Document categorization based on minimum loss of reconstruction information
MICAI'12 Proceedings of the 11th Mexican international conference on Advances in Computational Intelligence - Volume Part II
Disambiguation in unknown object detection by integrating image and speech recognition confidences
ACCV'12 Proceedings of the 11th Asian conference on Computer Vision - Volume Part I
Learning hierarchical bag of words using naive bayes clustering
ACCV'12 Proceedings of the 11th Asian conference on Computer Vision - Volume Part I
Boosting with side information
ACCV'12 Proceedings of the 11th Asian conference on Computer Vision - Volume Part I
A comparative study of encoding, pooling and normalization methods for action recognition
ACCV'12 Proceedings of the 11th Asian conference on Computer Vision - Volume Part III
An accurate method for line detection and manhattan frame estimation
ACCV'12 Proceedings of the 11th international conference on Computer Vision - Volume 2
A simple tracing algorithm for binary fingerprinting code under averaging attack
Proceedings of the first ACM workshop on Information hiding and multimedia security
A dynamic programming approach to missing data estimation using neural networks
Information Sciences: an International Journal
A fresh approach to vector graphics: technical perspective
Communications of the ACM
Bias-variance decomposition of ir evaluation
Proceedings of the 36th international ACM SIGIR conference on Research and development in information retrieval
Proceedings of the Conference on Design, Automation and Test in Europe
Latent space segmentation for mobile gait analysis
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on Wireless Health Systems, On-Chip and Off-Chip Network Architectures
Distance estimation in numerical data sets with missing values
Information Sciences: an International Journal
Automatic socio-economic classification of households using electricity consumption data
Proceedings of the fourth international conference on Future energy systems
Spotting opinion spammers using behavioral footprints
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Density-based logistic regression
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Constrained stochastic gradient descent for large-scale least squares problem
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Researcher homepage classification using unlabeled data
Proceedings of the 22nd international conference on World Wide Web
One-class collaborative filtering with random graphs
Proceedings of the 22nd international conference on World Wide Web
Proceedings of the 50th Annual Design Automation Conference
Proceedings of the 50th Annual Design Automation Conference
Functional activity maps based on significance measures and Independent Component Analysis
Computer Methods and Programs in Biomedicine
Analytical approach to similarity-based prediction of manufacturing system performance
Computers in Industry
VLSI design of an SVM learning core on sequential minimal optimization algorithm
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the 6th Balkan Conference in Informatics
Eigenspectra, a robust regression method for multiplexed Raman spectra analysis
International Journal of Data Mining and Bioinformatics
Prediction of atomic web services reliability based on k-means clustering
Proceedings of the 2013 9th Joint Meeting on Foundations of Software Engineering
A granular, parametric KNN classifier
Proceedings of the 17th Panhellenic Conference on Informatics
Predicting best design trade-offs: a case study in processor customization
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Walk detection and step counting on unconstrained smartphones
Proceedings of the 2013 ACM international joint conference on Pervasive and ubiquitous computing
Towards zero-shot learning for human activity recognition using semantic attribute sequence model
Proceedings of the 2013 ACM international joint conference on Pervasive and ubiquitous computing
Machine learning for interactive systems and robots: a brief introduction
Proceedings of the 2nd Workshop on Machine Learning for Interactive Systems: Bridging the Gap Between Perception, Action and Communication
International Journal of Robotics Research
Learning to select and generalize striking movements in robot table tennis
International Journal of Robotics Research
KnowRob: A knowledge processing infrastructure for cognition-enabled robots
International Journal of Robotics Research
Proceedings of the 2013 International Symposium on Wearable Computers
The impact of parameter tuning on software effort estimation using learning machines
Proceedings of the 9th International Conference on Predictive Models in Software Engineering
Proceedings of the 9th International Conference on Predictive Models in Software Engineering
Large-margin multi-view Gaussian process for image classification
Proceedings of the Fifth International Conference on Internet Multimedia Computing and Service
Probabilistic movement modeling for intention inference in human-robot interaction
International Journal of Robotics Research
Enhancing one-class support vector machines for unsupervised anomaly detection
Proceedings of the ACM SIGKDD Workshop on Outlier Detection and Description
A probabilistic graphical model approach to stochastic multiscale partial differential equations
Journal of Computational Physics
Enhancing sentiment extraction from text by means of arguments
Proceedings of the Second International Workshop on Issues of Sentiment Discovery and Opinion Mining
Global analytic solution of fully-observed variational Bayesian matrix factorization
The Journal of Machine Learning Research
Variational inference in nonconjugate models
The Journal of Machine Learning Research
Stochastic variational inference
The Journal of Machine Learning Research
Characterization and modeling of PIDX parallel I/O for performance optimization
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
On the necessity of irrelevant variables
The Journal of Machine Learning Research
A topic modeling toolbox using belief propagation
The Journal of Machine Learning Research
Learning symbolic representations of hybrid dynamical systems
The Journal of Machine Learning Research
A finite mixture model for detail-preserving image segmentation
Signal Processing
Forecasting user visits for online display advertising
Information Retrieval
Nonparametric bayesian multitask collaborative filtering
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Can back-of-the-book indexes be automatically created?
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Discovering health-related knowledge in social media using ensembles of heterogeneous features
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Using historical click data to increase interleaving sensitivity
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
A Study of Temporal Action Sequencing During Consumption of a Meal
Proceedings of the International Conference on Bioinformatics, Computational Biology and Biomedical Informatics
Classification of Alzheimer Diagnosis from ADNI Plasma Biomarker Data
Proceedings of the International Conference on Bioinformatics, Computational Biology and Biomedical Informatics
Expert Systems with Applications: An International Journal
Xbox movies recommendations: variational bayes matrix factorization with embedded feature selection
Proceedings of the 7th ACM conference on Recommender systems
A belief propagation approach for detecting shilling attacks in collaborative filtering
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Mining user interest from search tasks and annotations
Proceedings of the 22nd ACM international conference on Conference on information & knowledge management
Uncovering overlapping cluster structures via stochastic competitive learning
Information Sciences: an International Journal
Probabilistic generative ranking method based on multi-support vector domain description
Information Sciences: an International Journal
Planning for multiple measurement channels in a continuous-state POMDP
Annals of Mathematics and Artificial Intelligence
Using machine learning to partition streaming programs
ACM Transactions on Architecture and Code Optimization (TACO)
Will your facebook post be engaging?
Proceedings of the 1st workshop on User engagement optimization
MRF-based adaptive detection approach: a framework for restoring image degraded by Gaussian noise
Proceedings of the 2013 Research in Adaptive and Convergent Systems
Session modeling to predict online buyer behavior
Proceedings of the 2013 workshop on Data-driven user behavioral modelling and mining from social media
Robust diffeomorphic mapping via geodesically controlled active shapes
Journal of Biomedical Imaging
Regularized vector field learning with sparse approximation for mismatch removal
Pattern Recognition
Expert Systems with Applications: An International Journal
Reversing the effects of tokenisation attacks against content-based spam filters
International Journal of Security and Networks
An Inference Engine for Estimating Outside States of Clinical Test Items
ACM Transactions on Management Information Systems (TMIS)
Proceedings of the 11th ACM Conference on Embedded Networked Sensor Systems
Automated reasoning, fast and slow
CADE'13 Proceedings of the 24th international conference on Automated Deduction
Smoothed emphasis for boosting ensembles
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advances in computational intelligence - Volume Part I
Risk prediction of femoral neck osteoporosis using machine learning and conventional methods
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advences in computational intelligence - Volume Part II
Geometric tree kernels: classification of COPD from airway tree geometry
IPMI'13 Proceedings of the 23rd international conference on Information Processing in Medical Imaging
Unsupervised learning of functional network dynamics in resting state fMRI
IPMI'13 Proceedings of the 23rd international conference on Information Processing in Medical Imaging
Joint modeling of imaging and genetics
IPMI'13 Proceedings of the 23rd international conference on Information Processing in Medical Imaging
ISNN'13 Proceedings of the 10th international conference on Advances in Neural Networks - Volume Part I
UMPCA based feature extraction for ECG
ISNN'13 Proceedings of the 10th international conference on Advances in Neural Networks - Volume Part I
Gaussian message propagation in d-order neighborhood for gaussian graphical model
ISNN'13 Proceedings of the 10th international conference on Advances in Neural Networks - Volume Part I
Tracking with a mixed continuous-discrete Conditional Random Field
Computer Vision and Image Understanding
Computer Vision and Image Understanding
Reduce the Number of Sensors: Sensing Acoustic Emissions to Estimate Appliance Energy Usage
Proceedings of the 5th ACM Workshop on Embedded Systems For Energy-Efficient Buildings
Reinforcement learning in robotics: A survey
International Journal of Robotics Research
Computer-aided diagnosis system: A Bayesian hybrid classification method
Computer Methods and Programs in Biomedicine
Analysis of pattern recognition and dimensionality reduction techniques for odor biometrics
Knowledge-Based Systems
Shape retrieval and recognition based on fuzzy histogram
Journal of Visual Communication and Image Representation
Computer-aided diagnosis of breast cancer based on fine needle biopsy microscopic images
Computers in Biology and Medicine
Learning and designing stochastic processes from logical constraints
QEST'13 Proceedings of the 10th international conference on Quantitative Evaluation of Systems
Improving wireless link simulation using multilevel markov models
ACM Transactions on Sensor Networks (TOSN)
ML4PG in computer algebra verification
CICM'13 Proceedings of the 2013 international conference on Intelligent Computer Mathematics
Deep learning of representations: looking forward
SLSP'13 Proceedings of the First international conference on Statistical Language and Speech Processing
Data-driven link quality prediction using link features
ACM Transactions on Sensor Networks (TOSN)
First progresses in evaluation of resonance in staff selection through speech emotion recognition
ICIC'13 Proceedings of the 9th international conference on Intelligent Computing Theories and Technology
HCI'13 Proceedings of the 15th international conference on Human-Computer Interaction: interaction modalities and techniques - Volume Part IV
Classifier Ensemble Methods for Diagnosing COPD from Volatile Organic Compounds in Exhaled Air
International Journal of Knowledge Discovery in Bioinformatics
Sample size determination for logistic regression
Journal of Computational and Applied Mathematics
Discriminant analysis and similarity measure
Pattern Recognition
QUAC: Quick unsupervised anisotropic clustering
Pattern Recognition
The C-loss function for pattern classification
Pattern Recognition
Multiple rank multi-linear SVM for matrix data classification
Pattern Recognition
Training restricted Boltzmann machines: An introduction
Pattern Recognition
A robust hidden semi-Markov model with application to aCGH data processing
International Journal of Data Mining and Bioinformatics
Execution time prediction for grid infrastructures based on runtime provenance data
WORKS '13 Proceedings of the 8th Workshop on Workflows in Support of Large-Scale Science
Somatosensory interaction for real-time large scale roaming
Proceedings of the 12th ACM SIGGRAPH International Conference on Virtual-Reality Continuum and Its Applications in Industry
On quantifying qualitative geospatial data: a probabilistic approach
Proceedings of the Second ACM SIGSPATIAL International Workshop on Crowdsourced and Volunteered Geographic Information
Bias-variance tradeoffs in program analysis
Proceedings of the 41st ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages
Discovering denial constraints
Proceedings of the VLDB Endowment
Travel cost inference from sparse, spatio temporally correlated time series using Markov models
Proceedings of the VLDB Endowment
Minimizer of the Reconstruction Error for multi-class document categorization
Expert Systems with Applications: An International Journal
Reliable classification: Learning classifiers that distinguish aleatoric and epistemic uncertainty
Information Sciences: an International Journal
Information Sciences: an International Journal
An intelligent broker agent for energy trading: an MDP approach
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Sufficiency-based selection strategy for MCTS
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Robust tensor clustering with non-greedy maximization
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Learning finite Beta-Liouville mixture models via variational bayes for proportional data clustering
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Multi-dimensional causal discovery
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
A KNN based kalman filter Gaussian process regression
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
An active learning approach to home heating in the smart grid
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Forecasting multi-appliance usage for smart home energy management
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Dynamic microarchitectural adaptation using machine learning
ACM Transactions on Architecture and Code Optimization (TACO)
Inferring mood in ubiquitous conversational video
Proceedings of the 12th International Conference on Mobile and Ubiquitous Multimedia
Uncertain: a first-order type for uncertain data
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
ASC: automatically scalable computation
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
Bias-variance analysis in estimating true query model for information retrieval
Information Processing and Management: an International Journal
A survey of multiple classifier systems as hybrid systems
Information Fusion
Visual tracking based on Distribution Fields and online weighted multiple instance learning
Image and Vision Computing
Editor's Choice Article: Human activity recognition in videos using a single example
Image and Vision Computing
Detection of artifacts from high energy bursts in neonatal EEG
Computers in Biology and Medicine
Neuro-SVM Anticipatory System for Online Monitoring of Radiation and Abrupt Change Detection
International Journal of Monitoring and Surveillance Technologies Research
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation
ACM Transactions on Embedded Computing Systems (TECS) - Special Section ESFH'12, ESTIMedia'11 and Regular Papers
Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis
Sentiment analysis on evolving social streams: how self-report imbalances can help
Proceedings of the 7th ACM international conference on Web search and data mining
Probabilistic topic models for sequence data
Machine Learning
Finding topic-level experts in scholarly networks
Scientometrics
DREAMS: DFM rule EvAluation using manufactured silicon
Proceedings of the International Conference on Computer-Aided Design
Proceedings of the International Conference on Computer-Aided Design
Accurate prediction of AD patients using cortical thickness networks
Machine Vision and Applications
Efficient segmentation of leaves in semi-controlled conditions
Machine Vision and Applications
ReliAble dependency arc recognition
Expert Systems with Applications: An International Journal
Algorithm runtime prediction: Methods & evaluation
Artificial Intelligence
Role of automation in the examination of handwritten items
Pattern Recognition
Integration of multi-feature fusion and dictionary learning for face recognition
Image and Vision Computing
Mixtures of Gaussian process models for human pose estimation
Image and Vision Computing
Low rank approximation of the symmetric positive semidefinite matrix
Journal of Computational and Applied Mathematics
Information Sciences: an International Journal
Breaking the habit: Measuring and predicting departures from routine in individual human mobility
Pervasive and Mobile Computing
Characterizing the Topology of Probabilistic Biological Networks
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Journal of Visual Communication and Image Representation
A probabilistic framework for next best view estimation in a cluttered environment
Journal of Visual Communication and Image Representation
Double linear regressions for single labeled image per person face recognition
Pattern Recognition
Integration, the VLSI Journal
Semi-supervised change detection using modified self-organizing feature map neural network
Applied Soft Computing
Proceedings of the Fourth International Conference on Learning Analytics And Knowledge
Generalized spike-and-slab priors for Bayesian group feature selection using expectation propagation
The Journal of Machine Learning Research
Training energy-based models for time-series imputation
The Journal of Machine Learning Research
Perturbative corrections for approximate inference in Gaussian latent variable models
The Journal of Machine Learning Research
Modeling contextual agreement in preferences
Proceedings of the 23rd international conference on World wide web
Engineering Applications of Artificial Intelligence
Change detection in remotely sensed images using semi-supervised clustering algorithms
International Journal of Knowledge Engineering and Soft Data Paradigms
Matching mixtures of curves for human action recognition
Computer Vision and Image Understanding
Spontaneous clustering via minimum gamma-divergence
Neural Computation
A framework for selection and fusion of pattern classifiers in multimedia recognition
Pattern Recognition Letters
Computers in Biology and Medicine
Assessing the variability in respiratory acoustic thoracic imaging (RATHI)
Computers in Biology and Medicine
Integrating profile-driven parallelism detection and machine-learning-based mapping
ACM Transactions on Architecture and Code Optimization (TACO)
Neural network modeling of vector multivariable functions in ill-posed approximation problems
Journal of Computer and Systems Sciences International
Quantum decision tree classifier
Quantum Information Processing
Personal and Ubiquitous Computing
Personal and Ubiquitous Computing
Activity recognition for creatures of habit
Personal and Ubiquitous Computing
Benchmarking local classification methods
Computational Statistics
Spectral graph features for the classification of graphs and graph sequences
Computational Statistics
Machine Vision and Applications
Concurrent photo sequence organization
Multimedia Tools and Applications
Premise Selection for Mathematics by Corpus Analysis and Kernel Methods
Journal of Automated Reasoning
Learning vector quantization for (dis-)similarities
Neurocomputing
A homography transform based higher-order MRF model for stereo matching
Pattern Recognition Letters
Compressive sensing and adaptive direct sampling in hyperspectral imaging
Digital Signal Processing
Pairwise FCM based feature weighting for improved classification of vertebral column disorders
Computers in Biology and Medicine
IEEE/ACM Transactions on Audio, Speech and Language Processing (TASLP)
Socially guided intrinsic motivation for robot learning of motor skills
Autonomous Robots
Agent-based decentralised coordination for sensor networks using the max-sum algorithm
Autonomous Agents and Multi-Agent Systems
Fast decorrelated neural network ensembles with random weights
Information Sciences: an International Journal
Review: A review of novelty detection
Signal Processing
Robust estimation of a global Gaussian mixture by decentralized aggregations of local models
Web Intelligence and Agent Systems
Feature learning and deep architectures: new directions for music informatics
Journal of Intelligent Information Systems
A hybrid plaque characterization method using intravascular ultrasound images
Technology and Health Care
Phonetic feature extraction for context-sensitive glottal source processing
Speech Communication
Probabilistic models in IR and their relationships
Information Retrieval
A tour of machine learning: An AI perspective
AI Communications - ECAI 2012 Turing and Anniversary Track
A comparative study of novel robust clustering algorithms
Intelligent Data Analysis
Enhancing K-Means using class labels
Intelligent Data Analysis
Pattern analysis by active learning method classifier
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Towards a Framework for Modelling Engagement Dynamics in Multiple Learning Domains
International Journal of Artificial Intelligence in Education - Best of AIED 2011
Fast inference in generalized linear models via expected log-likelihoods
Journal of Computational Neuroscience
Journal of Signal Processing Systems
Predictive Distribution of the Dirichlet Mixture Model by Local Variational Inference
Journal of Signal Processing Systems
Minimum Classification Error Training Incorporating Automatic Loss Smoothness Determination
Journal of Signal Processing Systems
Extending the Generalised Pareto Distribution for Novelty Detection in High-Dimensional Spaces
Journal of Signal Processing Systems
Journal of Signal Processing Systems
Creating robust high-throughput traffic sign detectors using centre-surround HOG statistics
Machine Vision and Applications
Embedded local feature selection within mixture of experts
Information Sciences: an International Journal
Information Sciences: an International Journal
Automatic shape expansion with verification to improve 3D retrieval, classification and matching
3DOR '13 Proceedings of the Sixth Eurographics Workshop on 3D Object Retrieval
An overview of bayesian methods for neural spike train analysis
Computational Intelligence and Neuroscience - Special issue on Modeling and Analysis of Neural Spike Trains
Hi-index | 0.07 |