Ultra-Fast CPU Performance Prediction: Extending the Monte Carlo Approach

  • Authors:
  • Ram Srinivasan;Jeanine Cook;Olaf Lubeck

  • Affiliations:
  • New Mexico State University, Los Alamos National Laboratory, USA;New Mexico State University, Los Alamos National Laboratory, USA;New Mexico State University, Los Alamos National Laboratory, USA

  • Venue:
  • SBAC-PAD '06 Proceedings of the 18th International Symposium on Computer Architecture and High Performance Computing
  • Year:
  • 2006

Quantified Score

Hi-index 0.00

Visualization

Abstract

Performance evaluation of contemporary processors is becoming increasingly difficult due to the lack of proper frameworks. Traditionally, cycle-accurate simulators have been extensively used due to their inherent accuracy and flexibility. However, the effort involved in building them, their slow speed, and their limited ability to provide insight often imposes constraints on the extent of design exploration. In this paper, we refine our earlier Monte Carlo based CPI prediction model [11] to include software assisted data-prefetching and an improved memory model. Softwarebased prefetching is becoming an increasingly important feature in modern processors but to the best of our knowledge, existing frameworks do not model it. Our model uses microarchitecture independent application characteristics to predict CPI with an average error of less than 10% when vaidated against the Itanium-2 processor. Besides accurate performance prediction, we illustrate the applications of the model to processor bottle-neck analysis, workload characterization and design space exploration.