An 8-core, 64-thread, 64-bit power efficient sparc soc (niagara2)

  • Authors:
  • Tim Johnson;Umesh Nawathe

  • Affiliations:
  • Sun Microsystems, Sunnyvale, CA;Sun Microsystems, Sunnyvale, CA

  • Venue:
  • Proceedings of the 2007 international symposium on Physical design
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

This talk will provide an overview of the Niagara 2 architecture, its physical implementation, and the challenges faced with designing a 65nm SoC microprocessor. Details will also be shared with respect to Niagara 2's clocking scheme and unique design for power and power management schemes.