An analysis of the effects of miss clustering on the cost of a cache miss

  • Authors:
  • Thomas R. Puzak;A. Hartstein;P. G. Emma;V. Srinivasan;Jim Mitchell

  • Affiliations:
  • IBM -- T. J. Watson Research Center, Yorktown Heights, NY;IBM -- T. J. Watson Research Center, Yorktown Heights, NY;IBM -- T. J. Watson Research Center, Yorktown Heights, NY;IBM -- T. J. Watson Research Center, Yorktown Heights, NY;IBM -- T. J. Watson Research Center, Yorktown Heights, NY

  • Venue:
  • Proceedings of the 4th international conference on Computing frontiers
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper we describe a new technique, called pipeline spectroscopy, and use it to measure the cost of each cache miss. The cost of a miss is displayed (graphed) as a histogram, which represents a precise readout showing a detailed visualization of the cost of each cache miss throughout all levels of the memory hierarchy. We call the graphs 'spectrograms' because they reveal certain signature features of the processor's memory hierarchy, the pipeline, and the miss pattern itself. Next we provide two examples that use spectroscopy to optimize the processor's hardware or application's software. The first example demonstrates how a miss spectrogram can aid software designers in analyzing the performance of an application. The second example uses a miss spectrogram to analyze bus queueing. Our experiments show that performance gains of up to 8% are possible. Detailed analysis of a spectrogram leads to much greater insight in pipeline dynamics, including effects due to miss cluster, miss overlap, prefetching, and miss queueing delays.