Pipeline spectroscopy

  • Authors:
  • Thomas R. Puzak;A. Hartstein;P. G. Emma;V. Srinivasan;Arthur Nadas

  • Affiliations:
  • IBM -- T. J. Watson Research Center, Yorktown Heights, NY;IBM -- T. J. Watson Research Center, Yorktown Heights, NY;IBM -- T. J. Watson Research Center, Yorktown Heights, NY;IBM -- T. J. Watson Research Center, Yorktown Heights, NY;NYU School of Medicine, Tuxedo, NY

  • Venue:
  • Proceedings of the 2007 workshop on Experimental computer science
  • Year:
  • 2007

Quantified Score

Hi-index 0.01

Visualization

Abstract

Pipeline Spectroscopy is a new technique that allows us to measure the cost of each cache miss. The cost of a miss is displayed (graphed) as a histogram, which represents a precise readout showing a detailed visualization of the cost of each cache miss throughout all levels of the memory hierarchy. We call the graphs 'spectrograms' because they reveal certain signature characteristics of the processor's memory hierarchy, the pipeline, and the miss pattern itself. We show that in a memory hierarchy with N cache levels (L1, L2, ..., LN, and memory) and a miss cluster of size C, there are (C+N/C) possible miss penalties. This represent all possible sums from all possible combinations of the miss latencies from each level of the memory hierarchy (L2, L3, ... Memory) for a given cluster size. Additionally, a theory is presented that describes the shape of a spectrogram, and we use this theory to predict the shape of spectrograms for larger miss clusters. Detailed analysis of a spectrograph leads to much greater insight in pipeline dynamics, including effects due to prefetching, and miss queueing delays.