Power Reduction of Functional Units Considering Temperature and Process Variations

  • Authors:
  • Deepa Kannan;Aviral Shrivastava;Sarvesh Bhardwaj;Sarma Vrudhul

  • Affiliations:
  • -;-;-;-

  • Venue:
  • VLSID '08 Proceedings of the 21st International Conference on VLSI Design
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Continuous technology scaling has resulted in an in- crease in both, the power density as well as the variation in device dimensions (process variations) of the manufactured processors. Both power density and process variations have a significant impact on the leakage power. Therefore, power optimization techniques should be sensitive to the variation in leakage power due to both temperature as well as process variations. Operation to Functional Units Binding Mecha- nism (OFBM) is the mechanism to dynamically issue oper- ations to Functional Units (FUs) in superscalar processors. We propose a Leakage-Aware OFBM (LA-OFBM), which is both temperature and process variation aware. Our experi- mental results demostrate that LA-OFBM reduces the mean and standard deviation of the total energy consumption of ALUs by 18%, and 46% respectively, as compared to the traditional OFBM, without any performance penalty.