Instruction scheduling for VLIW processors under variation scenario

  • Authors:
  • Nayan V. Mujadiya

  • Affiliations:
  • Center for VLSI and Embedded System Technologies, International Institute of Information Technology - Hyderabad, Hyderabad, India

  • Venue:
  • SAMOS'09 Proceedings of the 9th international conference on Systems, architectures, modeling and simulation
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

Process variations in components like adders, multipliers, etc., of different Integer Functional Units (IFUs) in VLIW (Very Long InstructionWord) processors may cause these units to operate at various speeds, resulting in non-uniform latency IFUs. Worst-case techniques to deal with the non-uniform latency IFUs may incur significant performance and/or leakage energy loss. In this work, we propose two process variation-aware compiletime techniques to handle non-uniform latency IFUs. In the first technique, namely 'turn-off', we turn off all the process variation affected high latency IFUs. In the second technique, namely 'ondemand turn-on', we use some of the process variation affected high latency IFUs by turning them on whenever there is a requirement. Our experimental results show that with these techniques, the non-uniform latency IFU can be tackled without much performance penalty. The proposed techniques also achieve significant reduction in leakage energy consumption because of turning off of some of the IFUs.