Analysis of the influence of intermittent faults in a microcontroller

  • Authors:
  • J. Gracia;L. J. Saiz;J. C. Baraza;D. Gil;P. J. Gil

  • Affiliations:
  • Grupo de Sistemas Tolerantes a Fallos (GSTF) - Departamento de Informática de Sistemas y Computadores (DISCA), Universidad Politécnica de Valencia, Spain, e-mail: jgracia@disca.upv;Grupo de Sistemas Tolerantes a Fallos (GSTF) - Departamento de Informática de Sistemas y Computadores (DISCA), Universidad Politécnica de Valencia, Spain, e-mail: ljsaiz@disca.upv.;Grupo de Sistemas Tolerantes a Fallos (GSTF) - Departamento de Informática de Sistemas y Computadores (DISCA), Universidad Politécnica de Valencia, Spain, e-mail: jcbaraza@disca.up;Grupo de Sistemas Tolerantes a Fallos (GSTF) - Departamento de Informática de Sistemas y Computadores (DISCA), Universidad Politécnica de Valencia, Spain, e-mail: dgil@disca.upv.es;Grupo de Sistemas Tolerantes a Fallos (GSTF) - Departamento de Informática de Sistemas y Computadores (DISCA), Universidad Politécnica de Valencia, Spain, e-mail: pgil@disca.upv.es

  • Venue:
  • DDECS '08 Proceedings of the 2008 11th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Nowadays, new submicron technologies have allowed increasing processors performance while decreasing their size. However, as a side effect, their reliability has been negatively affected. Although mainly permanent and transient faults have been studied, intermittent faults are expected to be a big challenge in modern VLSI circuits. Usually, intermittent faults have been assumed to be the prelude of permanent faults. Currently, intermittent faults due to process variations and residues have grown, being necessary to study their effects. The objective of this work has been to analyse the impact of intermittent faults, taking advantage of the power of the simulation-based fault injection methodology. Using as background faults observed in real computer systems, we have injected intermittent faults in the VHDL model of a microcontroller. The controllability and flexibility of VHDL-based fault injection technique has allowed us to do a detailed analysis of the influence of some parameters of intermittent faults. We have also compared the results obtained with the impact of transient and permanent faults.