Efficient Trace Signal Selection for Post Silicon Validation and Debug

  • Authors:
  • Kanad Basu;Prabhat Mishra

  • Affiliations:
  • -;-

  • Venue:
  • VLSID '11 Proceedings of the 2011 24th International Conference on VLSI Design
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Post-silicon validation is an essential part of modern integrated circuit design to capture bugs and design errors that escape pre-silicon validation phase. A major problem governing post-silicon debug is the observability of internal signals since the chip has already been manufactured. Storage requirements limit the number of signals that can be traced, therefore, a major challenge is how to reconstruct the majority of the remaining signals based on traced values. Existing approaches focus on selecting signals with an emphasis on partial restorability, which does not guarantee a good signal restoration. We propose an approach that efficiently selects a set of signals based on total restorability criteria. Our experimental results demonstrate that our signal selection algorithm is both computationally more efficient and can restore up to three times more signals compared to existing methods.