MARSS: a full system simulator for multicore x86 CPUs

  • Authors:
  • Avadh Patel;Furat Afram;Shunfei Chen;Kanad Ghose

  • Affiliations:
  • State University of New York at Binghamton;State University of New York at Binghamton;State University of New York at Binghamton;State University of New York at Binghamton

  • Venue:
  • Proceedings of the 48th Design Automation Conference
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present MARSS, an open source, fast, full system simulation tool built on QEMU to support cycle-accurate simulation of superscalar homogeneous and heterogeneous multicore x86 processors. MARSS includes detailed models of coherent caches, interconnections, chipsets, memory and IO devices. MARSS simulates the execution of all software components in the system, including unmodified binaries of applications, OS and libraries.