An analytical model for the calculation of the Expected Miss Ratio in faulty caches

  • Authors:
  • D. Sanchez;Y. Sazeides;J. L. Aragon;J. M. Garcia

  • Affiliations:
  • Dept. of Comput. Eng., Univ. of Murcia, Murcia, Spain;Dept. of Comput. Sci., Univ. of Cyprus, Nicosia, Cyprus;Dept. of Comput. Eng., Univ. of Murcia, Murcia, Spain;Dept. of Comput. Eng., Univ. of Murcia, Murcia, Spain

  • Venue:
  • IOLTS '11 Proceedings of the 2011 IEEE 17th International On-Line Testing Symposium
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Technology scaling improvement is affecting the reliability of ICs due to increases in static and dynamic variations as well as wear-out failures. This is particularly true for caches that dominate the area of modern processors and are built with minimum-sized, but prone to failure, SRAM cells. Our attempt to address this cache reliability challenge is an analytical model for determining the implications on cache miss-rate of block-disabling due to random cell failure. The proposed model is distinct from previous work in that is an exact model rather than an approximation and yet it is simpler than previous work. Its simplicity stems from the lack of fault-maps in the analysis. The model capabilities are illustrated through a study of cache miss-rate trends in future technology nodes. The model is also used to determine the accuracy of a random fault map methodology. The analysis reveals, for the assumptions, programs and cache configuration used in this study, a surprising result: a relative small number of random fault maps, 100-1000, is sufficient to obtain accurate mean and standard-deviation values for the miss-rate. Additional investigation revealed that the cause of this behavior is a high correlation between the number of accesses and access distribution between cache sets.