Q-learning based congestion-aware routing algorithm for on-chip network

  • Authors:
  • Fahimeh Farahnakian;Masoumeh Ebrahimi;Masoud Daneshtalab;Pasi Liljeberg;Juha Plosila

  • Affiliations:
  • Department of Information Technology, University of Turku, Turku, Finland;Department of Information Technology, University of Turku, Turku, Finland;Department of Information Technology, University of Turku, Turku, Finland;Department of Information Technology, University of Turku, Turku, Finland;Department of Information Technology, University of Turku, Turku, Finland

  • Venue:
  • NESEA '11 Proceedings of the 2011 IEEE 2nd International Conference on Networked Embedded Systems for Enterprise Applications
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Network congestion can limit performance of NoC due to increased transmission latency and power consumption. Congestion-aware adaptive routing can greatly improve the network performance by balancing the traffic load over the network. In this paper, we present a reinforcement learning method, Q-learning, for NoC to alleviate congestion in the network. In the proposed method, local and nonlocal congestion information is propagated over the network utilizing learning packets. This learning approach results in better routing decisions due to up-to-date and more reliable congestion values. According to this congestion information, a path is chosen for a packet which is less congested. Experimental results with synthetic test cases demonstrate that the on-chip network utilizing the proposed method outperforms a conventional scheme, Dynamic XY, (28% for uniform traffic and 17% for hotspot traffic) with a 12% of area overhead.