Accurate Machine-Learning-Based On-Chip Router Modeling

  • Authors:
  • Kwangok Jeong;A. B. Kahng;B. Lin;K. Samadi

  • Affiliations:
  • Dept. of Electr. & Comput. Eng., Univ. of California at San Diego, La Jolla, CA, USA;-;-;-

  • Venue:
  • IEEE Embedded Systems Letters
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

As industry moves towards multicore chips, networks-on-chip (NoCs) are emerging as the scalable fabric for interconnecting the cores. With power now the first-order design constraint, early-stage estimation of NoC power, performance, and area has become crucially important. In this work, we develop accurate architecture-level on-chip router cost models using machine-learning-based regression techniques. Compared against existing models (e.g., ORION 2.0 and parametric models), our models reduce estimation error by up to 89% on average.