CRAIS: a crossbar based adaptive interconnection scheme

  • Authors:
  • Chao Wang;Xi Li;Xuehai Zhou;Xiaojing Feng

  • Affiliations:
  • School of Computer Science, University of Science and Technology of China, China and Suzhou Institute of Advanced Study, University of Science and Technology of China, China;School of Computer Science, University of Science and Technology of China, China;School of Computer Science, University of Science and Technology of China, China;School of Computer Science, University of Science and Technology of China, China and Suzhou Institute of Advanced Study, University of Science and Technology of China, China

  • Venue:
  • ARC'12 Proceedings of the 8th international conference on Reconfigurable Computing: architectures, tools and applications
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper proposes a scheme of a crossbar based on-chip adaptive interconnection, named CRAIS. CRAIS utilizes crossbar to connect processors and IP cores in MPSoC. The interconnect topology of CRAIS can be dynamically reconfigured during execution. Empirical results on FPGA prototype demonstrated that CRAIS runs correctly with affordable hardware cost.