Predicting memcached throughput using simulation and modeling

  • Authors:
  • Steven Hart;Eitan Frachtenberg;Mateusz Berezecki

  • Affiliations:
  • Facebook;Facebook;Facebook

  • Venue:
  • Proceedings of the 2012 Symposium on Theory of Modeling and Simulation - DEVS Integrative M&S Symposium
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

The current work introduces a method for predicting Memcached throughput on single-core and multi-core processors. The method is based on traces collected from a full system simulator running Memcached. A series of microarchitectural simulators consume these traces and the results are used to produce a CPI model composed of a baseline issue rate, cache miss rates, and branch misprediction rate. Simple queuing models are used to produce throughput predictions with accuracy in the range of 8% to 17%.