On the Feasibility of Fixed-Length Block Structured Architectures

  • Authors:
  • Lieven Eeckhout;Koen de Bosschere;Henk Neefs

  • Affiliations:
  • -;-;-

  • Venue:
  • ACAC '00 Proceedings of the 5th Australasian Computer Architecture Conference
  • Year:
  • 2000

Quantified Score

Hi-index 0.00

Visualization

Abstract

Scaling contemporary superscalar microarchitectures to higher levels of parallelism in future technologies seems to be impractical due to the increasing complexity. In this paper, we show that a fixed-length block structured instruction set architecture (BSA), is capable of reducing the hardware complexity and is therefore feasible as an alternative architectural paradigm for traditional architectures with large virtual window sizes for future technologies. This is reached through two major interventions. First, statically grouping instructions from various basic blocks into larger atomic units of work with a fixed length, called blocks, makes fetching easier. Second, a decentralized microarchitecture reduces the processor core logic significantly, resulting in higher clock frequencies. The performance evaluation methodology used in this paper both considers IPC (number of useful instructions retired per clock cycle) and clock cycle period. In addition, a broad design space is explored by quantifying the influence of various microarchitectural parameters on overall performance.