Application domains for fixed-length block structured architectures

  • Authors:
  • Lieven Eeckhout;Tom Vander Aa;Bart Goeman;Hans Vandierendonck;Rudy Lauwereins;Koen De Bosschere

  • Affiliations:
  • Ghent University, Belgium;ESAT, KULeuven, Belgium;Ghent University, Belgium;Ghent University, Belgium;ESAT, KULeuven, Belgium;Ghent University, Belgium

  • Venue:
  • ACSAC '01 Proceedings of the 6th Australasian conference on Computer systems architecture
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

In order to tackle the growing complexity and interconnects problem in modern microprocessor architectures, computer architects have come up with new architectural paradigms. A fixed-length block structured architecture (BSA) is one of these paradigms. The basic idea of a BSA is to generate blocks of instructions, called BSA-blocks, statically (by the compiler) and executing these blocks on a decentralized microarchitecture. In this paper, we focus on possible application domains for this architectural paradigm. To investigate this issue, we have set up several experiments with 43 benchmarks coming from the SPECint95, the SPECfp95, the MediaBench suite, plus a set of MPEG-4 like algorithms. The main conclusion of this paper is twofold. First, multimedia applications are less control-intensive than SPECint95 benchmarks and more control-intensive than SPECfp95 benchmarks. As a result, a compiler for a BSA will find more opportunities to fill BSA-blocks with instructions from the actually executed control flow paths for SPECfp95 than for multimedia applications; and more for multimedia applications than for SPECint95. Second, 16 instructions per BSA-block is appropriate for all application domains. Larger BSA-blocks on the other hand, result in higher branch misprediction rates for most applications and lead to a less effective use of the virtual window size.