Data Cache Design Considerations for the Itanium® 2 Processor

  • Authors:
  • Eric Delano;Dean Mulla

  • Affiliations:
  • -;-

  • Venue:
  • ICCD '02 Proceedings of the 2002 IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD'02)
  • Year:
  • 2002

Quantified Score

Hi-index 0.01

Visualization

Abstract

The second member in the Itanium Processor Family, the Itanium 2 processor, was designed to meet the challenge for high performance in today's technical and commercial server applications. The Itanium 2 processor's data cache microarchitecture provides abundant memory resources, low memory latencies and cache organizations tuned to for a variety of applications.The data cache design provides four memory ports to support the many performance optimizations available in the EPIC (Explicitly Parallel Instruction Computing) design concepts, such as predication, speculation and explicit prefetching.The three-level cache hierarchy provides a 16KB 1-cycle first level cache to support the moderate bandwidths needed by integer applications. The second level cache is 256KB with a relatively low latency and FP balanced bandwidth to support technical applications. The on-chip third level cache is 3MB and is designed to provide the low latency and the large size needed by commercial and technical applications.