SSD: An Affordable Fault Tolerant Architecture for Superscalar Processors

  • Authors:
  • Seongwoo Kim;Arun K. Somani

  • Affiliations:
  • -;-

  • Venue:
  • PRDC '01 Proceedings of the 2001 Pacific Rim International Symposium on Dependable Computing
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper proposes an integrity checking architecturefor superscalar processors that can achieve fault tolerancecapability of a duplex system at much less cost thanthe traditional duplication approach.The pipeline of theCPU core (P-pipeline) is combined in series with anotherpipeline (V-pipeline), which re-executes instructions processedin the P-pipeline.Operations in the two pipelines arecompared and any mismatch triggers recovery process. TheV-pipeline design is based on replication of the P-pipeline,and minimized in size and functionality by taking advantageof control flow and data dependency resolved in theP-pipeline.Idle cycles propagated from the P-pipeline becomeextra time for the V-pipeline to keep up with programre-execution.For a large-scale superscalar processor, theproposed architecture can bring up to 61.4% reduction indie area and the average execution time increase is 0.3%.