Constructive timing violation for improving energy efficiency

  • Authors:
  • Toshinori Sato;Itsujiro Arita

  • Affiliations:
  • Department of Artificial Intelligence, Kyushu Institute of Technology, Japan;Department of Artificial Intelligence, Kyushu Institute of Technology, Japan

  • Venue:
  • Compilers and operating systems for low power
  • Year:
  • 2003

Quantified Score

Hi-index 0.00

Visualization

Abstract

A novel technique for improving the energy efficiency of microprocessors is disclosed. This new method relies on a fault-tolerance mechanism for timing violations, based on a speculative execution technique. Since power reduces quadratically with supply voltage, supply voltage reductions can result in substantial power savings. However, these reductions also cause a longer gate delay, and so the clock frequency must be reduced so that timing constraints of critical paths are not violated. If any fault-tolerance mechanism is provided for timing faults, it is not necessary to maintain the constraints. From these observations, we propose a fault-tolerance technique for timing violations, that efficiently utilizes the speculative execution mechanism and reduces power consumption. We call the technique constructive timing violation. The present study evaluated our proposal regarding this technique using a cycle-by-cycle simulator and determined the technique's efficiency regarding energy consumption.