TERPS: the embedded reliable processing system

  • Authors:
  • Hongxia Wang;Samuel Rodriguez;Cagdas Dirik;Amol Gole;Vincent Chan;Bruce Jacob

  • Affiliations:
  • University of Maryland, College Park, College Park, MD;University of Maryland, College Park, College Park, MD;University of Maryland, College Park, College Park, MD;University of Maryland, College Park, College Park, MD;University of Maryland, College Park, College Park, MD;University of Maryland, College Park, College Park, MD

  • Venue:
  • Proceedings of the 2005 Asia and South Pacific Design Automation Conference
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

TERPS is a fault-tolerant computer design that significantly reduces the threat of electromagnetic interference (EMI), using hardware checkpoint/rollback-recovery. TERPS tolerates EMI by periodically checkpointing processor state into a special safe-storage device. The detection of EMI invokes rollback, which recovers processor state from a previously check-pointed state and resumes normal execution. Rollback results in loss of performance dictated by the EMI duration; TERPS ensures forward progress of the system provided EMI events are separated by some minimum time interval (e.g., at least 5.12μs for our prototype processor running at 100MHz). The performance overhead of our mechanism is reasonable: 5-6% overhead when check-pointing every 128 processor cycles.