On the latency, energy and area of checkpointed, superscalar register alias tables

  • Authors:
  • Elham Safi;Patrick Akl;Andreas Moshovos;Andreas Veneris;Aggeliki Arapoyianni

  • Affiliations:
  • University of Toronto;University of Toronto;University of Toronto;University of Toronto;University of Athens

  • Venue:
  • ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present two full-custom implementations of the Register Alias Table (RAT) for a 4-way superscalar dynamically-scheduled processor in a commercial 130nm CMOS technology. The implementations differ in the way they organize the embedded global checkpoints (GCs) which support speculative execution. In the first implementation, representative of early designs, the GCs are organized as shift registers. In the second implementation, representative of more recent proposals, the GCs are organized as random access buffers. We measure the impact of increasing thenumber of GCs on the latency, energy, and area of the RAT. The results support the importance of recent techniques that reduce the number of GCs while maintaining performance.