Microarchitectures for Managing Chip Revenues under Process Variations

  • Authors:
  • Abhishek Das;Serkan Ozdemir;Gokhan Memik;Joseph Zambreno;Alok Choudhary

  • Affiliations:
  • -;-;-;-;-

  • Venue:
  • IEEE Computer Architecture Letters
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

As transistor feature sizes continue to shrink intothe sub-90nm range and beyond, the effects of process variationson critical path delay and chip yields have amplified. A commonconcept to remedy the effects of variation is speed-binning, bywhich chips from a single batch are rated by a discrete range offrequencies and sold at different prices. In this paper, we discussstrategies to modify the number of chips in different bins andhence enhance the profits obtained from them. Particularly, wepropose a scheme that introduces a small Substitute Cacheassociated with each cache way to replicate the data elementsthat will be stored in the high latency lines. Assuming a fixedpricing model, this method increases the revenue by as much as 13.8% without any impact on the performance of the chips.