High-Performance Embedded Architecture and Compilation Roadmap

  • Authors:
  • Koen Bosschere;Wayne Luk;Xavier Martorell;Nacho Navarro;Mike O'Boyle;Dionisios Pnevmatikatos;Alex Ramirez;Pascal Sainrat;André Seznec;Per Stenström;Olivier Temam

  • Affiliations:
  • HiPEAC Network of Excellence, and Ghent University, Belgium;HiPEAC Network of Excellence, and Imperial College, UK;HiPEAC Network of Excellence, and UPC, Spain;HiPEAC Network of Excellence, and UPC, Spain;HiPEAC Network of Excellence, and University of Edinburgh, UK;HiPEAC Network of Excellence, and ICS FORTH, Greece;HiPEAC Network of Excellence, and UPC, Spain;HiPEAC Network of Excellence, and CNRS, France;HiPEAC Network of Excellence, and IRISA, France;HiPEAC Network of Excellence, and Chalmers, Sweden;HiPEAC Network of Excellence, and INRIA Futurs, France

  • Venue:
  • Transactions on High-Performance Embedded Architectures and Compilers I
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

One of the key deliverables of the EU HiPEAC FP6 Network of Excellence is a roadmap on high-performance embedded architecture and compilation --- the HiPEAC Roadmap for short. This paper is the result of the roadmapping process that took place within the HiPEAC community and beyond. It concisely describes the key research challenges ahead of us and it will be used to steer the HiPEAC research efforts.The roadmap details several of the key challenges that need to be tackled in the coming decade, in order to achieve scalable performance in multi-core systems, and in order to make them a practical mainstream technology for high-performance embedded systems.The HiPEAC roadmap is organized around 10 central themes: (i) single core architecture, (ii) multi-core architecture, (iii) interconnection networks, (iv) programming models and tools, (v) compilation, (vi) run-time systems, (vii) benchmarking, (viii) simulation and system modeling, (ix) reconfigurable computing, and (x) real-time systems. Per theme, a list of challenges is identified. In total 55 key challenges are listed in this roadmap. The list of challenges can serve as a valuable source of reference for researchers active in the field, it can help companies building their own R&D roadmap, and --- although not intended as a tutorial document --- it can even serve as an introduction to scientists and professionals interested in learning about high-performance embedded architecture and compilation.