A process variation tolerant, high-speed and low-power current mode signaling scheme for on-chip interconnects

  • Authors:
  • Marshnil Vipin Dave;Maryam Shojaei Baghini;Dinesh Kumar Sharma

  • Affiliations:
  • IIT-Bombay, Mumbai, India;IIT-Bombay, Mumbai, India;IIT-Bombay, Mumbai, India

  • Venue:
  • Proceedings of the 19th ACM Great Lakes symposium on VLSI
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

Current mode signaling(CMS) scheme is one of the promising alternatives to voltage mode buffer insertion scheme for high-speed low-power data transmission over long on-chip interconnects. In this paper we present a CMS scheme with dynamic overdriving driver (DOD) whose performance is robust against intra-die and inter-die process variations. We show that throughput of the CMS scheme proposed in [1] degrades by 33% in the presence of intra-die process variations whereas that of the scheme in [2] degrades by 36% in the worst case process corner. Simulation results show that throughput of the proposed CMS scheme degrades by only 9.5% in presence of intra-die process variations and 22% in the worst case process corner. In this process corner, logic speed itself degrades by 23% and hence 22% of throughput degradation of the proposed signaling scheme is not a major concern. In the typical process corner, the proposed CMS scheme shows 14% and 19% improvement in delay and power, respectively over CMS scheme proposed in [1].