A-Port Networks: Preserving the Timed Behavior of Synchronous Systems for Modeling on FPGAs

  • Authors:
  • Michael Pellauer;Muralidaran Vijayaraghavan;Michael Adler; Arvind;Joel Emer

  • Affiliations:
  • Massachusetts Institute of Technology;Massachusetts Institute of Technology;Intel Corporation;Massachusetts Institute of Technology;Massachusetts Institute of Technology and Intel Corporation

  • Venue:
  • ACM Transactions on Reconfigurable Technology and Systems (TRETS)
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

Computer architects need to run cycle-accurate performance models of processors orders of magnitude faster. We discuss why the speedup on traditional multicores is limited, and why FPGAs represent a good vehicle to achieve a dramatic performance improvement over software models. This article introduces A-Port Networks, a simulation scheme designed to expose the fine-grained parallelism inherent in performance models and efficiently exploit them using FPGAs.