Testing Circuit-Partitioned 3D IC Designs

  • Authors:
  • Dean L. Lewis;Hsien-Hsin S. Lee

  • Affiliations:
  • -;-

  • Venue:
  • ISVLSI '09 Proceedings of the 2009 IEEE Computer Society Annual Symposium on VLSI
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

3D integration is an emerging technology that allows for the vertical stacking of multiple silicon die. These stacked die are tightly integrated with through-silicon vias and promise significant power and area reductions by replacing long global wires with short vertical connections. This technology necessitates that neighboring logical blocks exist on different layers in the stack. However, such functional partitions disable intra-chip communication pre-bond and thus disrupt traditional test techniques.Previous work has described a general test architecture that enables pre-bond testability of an architecturally partitioned 3D processor and provided mechanisms for basic layer functionality. This work proposes new test methods for designs partitioned at the circuits level,in which the gates and transistors of individual circuits could be split across multiple die layers. We investigated a bit-partitioned adder unit and a port-split register file, which represents the most difficult circuit-partitioned design to test pre-bond but which is used widely in many circuits. Two layouts of each circuit, planar and 3D, are produced. Our experiments verify the performance and power results and examine the test coverage achieved.