SlackSim: a platform for parallel simulations of CMPs on CMPs

  • Authors:
  • Jianwei Chen;Murali Annavaram;Michel Dubois

  • Affiliations:
  • University of Southern California;University of Southern California;University of Southern California

  • Venue:
  • ACM SIGMETRICS Performance Evaluation Review
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

Parallel simulation is a technique to accelerate microarchitecture simulation of target CMPs by exploiting the inherent parallelism of host CMPs. In this paper, we explore the simulation paradigm of simulating each core of a target CMP in one thread and the spreading the threads across the hardware thread contexts of a host CMP. We introduce the concept of slack simulation where the Pthreads simulating different target cores do not synchronize after each simulated cycle, but rather they are given some slack. The slack is the difference in cycles between the simulated times of any two target cores. Small slacks,such as a few cycles, greatly improve the efficiency of parallel CMP simulations, with no or negligible simulation error. We have developed a simulation framework called SlackSim to experiment with various slack simulation schemes. Unlike previous attempts to parallelize multiprocessor simulations on distributed memory machines, SlackSim takes advantage of the efficient sharing of data in the host CMP architecture. We demonstrate the efficiency and accuracy of some well-known slack simulation schemes and of some new ones on SlackSim running on a state-of-the-art CMP platform.